Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 601 to 660 of 22817

601
A 2-16.6 MHz Tunable Low-pass Filter with small chip area of 0.43 mm2, high IIP3 of 16.3 dBm and low power of 1.7 Mw

Park, Chul Soon, 2011 Triangle Symposium on Advanced ICT(TriSAI) Aug. 2011, pp.68 - 70, 2011-08

602
A 2-dimensional distributed equivalent circuit model of EBG power distribution network

Lee, Junho; Jeong, Youchul; Kim, Joungho, 14th Topical Meeting on Electrical Performance of Electronic Packaging 2005, pp.115 - 118, IEEE, 2005-10-24

603
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management

Roh, Taehwan; Song, Kiseok; Cho, Hyunwoo; Shin, Dongjoo; Ha, Unsoo; Lee, Kwonjoon; Yoo, Hoi-Jun, 2014 IEEE International Solid-State Circuits Conference, IEEE, 2014-02-11

604
A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression

Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Choi, Sungpill; Kim, Youngwoo; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.136 - 138, Institute of Electrical and Electronics Engineers Inc., 2019-02

605
A 2.1μW Real-Time Reconfigurable Wearable Ban Controller with Dual Linked List Structure

Lee, Seulki; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems - ISCAS 2012, IEEE, 2012-05-22

606
A 2.4 GHz Linear SiGe Bipolar Power Amplifier for IEEE 802.11b/g Wireless LAN Applications

Park, Chul Soon; Kim, JH; Kim, KY; Shin, YK, International Technical Conference on Circuits/Systems, Computers and Communications, v.0, no.0, pp.1333 - 1334, ITC-CSCC, 2005-07-01

607
A 2.4-GHz HBT power amplifier using an on-chip transformer as an output matching network

Seol, H.; Park, C.; Lee, D.H.; Park, M.; Hong, Songcheol, 2008 IEEE MTT-S International Microwave Symposium Digest, MTT, pp.875 - 878, 2008-06-15

608
A 2.4-GHz reference doubled fractional-N PLL with dual phase detector in 0.13-um CMOS

Lee, W.; Cho, SeongHwan, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.1328 - 1331, IEEE, 2010-05-30

609
A 2.4-GHz sub-mW CMOS current-reused receiver front-end for wireless sensor network

Song, T.; Oh, H.-S.; Baek, S.-H.; Hong, Songcheol; Yoon, E., 2006 IEEE Radio Frequency Integrated Circuits Symposium, pp.293 - 296, IEEE, 2006-06-11

610
A 2.4-GHz Sub-mW frequency source with current-reused frequency multiplier

Song, T.; Oh, H.-S.; Yang, J.; Yoon, E.; Hong, Songcheol, 2006 IEEE Radio Frequency Integrated Circuits Symposium, pp.37 - 40, IEEE, 2006-06-11

611
A 2.4/5.25 GHz CMOS Dual-band Low Noise Amplifier with Filtering Characteristics

Kim, Eun-Hee; Hwang, Yong-Seok; Yoo, Hyung Joun, ITC-CSCC 2007 (International Technical Conference on Circuits/Systems, Computers and Communications), pp.1423 - 1424, IEICE, 2007-07-10

612
A 2.4GHz 1.5mW digital MDLL using pulse-width comparator and double injection technique in 28nm CMOS

Kim, Hyunik; Cho, SeongHwan; Kim,Taeik; Park, Hojin; Kim, Yongjo, 2016 IEEE International Solid-State circuits Conference, pp.328 - 329, IEEE, 2016-02-03

613
A 2.4GHz SiGe bipolar power amplifier with integrated diode linearizer for WLAN IEEE 802.11b/g applications

Kim, J.H.; Kim, K.Y.; Park, Y.H.; Chung, Y.K.; Park, Chul Soon, 2006 IEEE Radio and Wireless Symposium, v.2006, pp.267 - 270, 2006-01-17

614
A 2.4GHz ternary sequence spread spectrum OOK transceiver with harmonic spur suppression and dual-mode detection architecture for ULP wearable devices

Kim, Seong Joong; Park, Chang Soon; Kim, Youngkyu; Yun, Seok-Ju; Hong, Young-Jun; Lee, Sang-Gug, 30th IEEE Symposium on VLSI Circuits, VLSI Circuits 2016, Institute of Electrical and Electronics Engineers Inc., 2016-06

615
A 2.4GHz, −102dBm-sensitivity, 25kb/s, 0.466mW interference resistant BFSK multi-channel sliding-IF ULP receiver

Seok, Hyun-Gi; Jung, Oh-Yong; Dissanayake Anjana; Lee, Sang-Gug, 2017 Symposium on VLSI Circuits, IEEE, 2017-06

616
A 2.4GHz,-102dBm-Sensitivity, 25kb/s, 0.466mW Interference Resistant BFSK Multi-Channel Sliding-IF ULP Receiver

Seok, Hyun-Gi; Jung, Oh-Yong; Anjana Dissanayake; Lee, Sang-Gug, 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp.319 - 320, IEEE, 2018-01

617
A 2.4pJ/bit, 6.37Gb/s SPC-enhanced BC-BCH decoder in 65nm CMOS for NAND flash storage systems

Jung, Jaehwan; Park, In-Cheol; Lee, Youngjoo, 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp.329 - 330, IEEE, 2018-01

618
A 2.4uW 400nC/s constant charge injector for wirelessly-powered electro-acupuncture

Lee, H.; Song, K.; Yan, L.; Yoo, Hoi-Jun, 2011 IEEE International Symposium of Circuits and Systems, ISCAS 2011, pp.1716 - 1719, IEEE, 2011-05-15

619
A 2.5-Gb/s DWDM system using directly modulated RSOA with RZ modulation format

Tran, Quoc-Hoai; Lee, Chang-Hee, Photonics Conference 2016(광자기술학술회의), 한국광학회, 2016-12-01

620
A 2.5-Gb/s per Channel DWDM-PON with a Pulsed-ASE Seed Light Source

Tran, Quoc Hoai; Yoo, Sang Hwa; Moon, Sang Rok; Lee, Chang Hee, IEEE Photonics Conference, IEEE Photonics Conference, 2015-10-05

621
A 2.5-GHz 860uW charge-recycling fractional-N frequency synthesizer in 130nm CMOS

Park, D.; Lee, W.; Jeon, S.; Cho, SeongHwan, 2008 Symposium on VLSI Circuits Digest of Technical Papers, VLSIC, pp.82 - 83, 2008-06-18

622
A 2.54μJ∙ppm2-FOMS Supply- and Temperature-Independent Time-Locked ΔΣ Capacitance-to-Digital Converter in 0.18-μm CMOS

Baik, Seungyeob; Seol, Taeryoung; Lee, Sehwan; Kim, Geunha; Cho, SeongHwan; George, Arup K.; Lee, Junghyup, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022, pp.114 - 115, Institute of Electrical and Electronics Engineers Inc., 2022-06

623
A 2.5Gb/s CMOS transimpedance amplifier using a novel active inductor load

Oh, YH; Lee, SG; Park, HyoHoon, 27th ESSCIRC (European Solid-State Circuits Conference), v.0, no.0, pp.178 - 181, 2001-09-18

624
A 2.5mW 12MHz-BW 69dB SNDR Passive Bandpass ΔΣ ADC with Highpass Noise-Shaping SAR Quantizers

Oh, Sein; Park, Seunga; Jung, Yoontae; Koo, Jimin; Cho, Donghee; Ha, Sohmyung; Je, Minkyu, 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), IEEE, 2023-06-11

625
A 2.6 GHz Low Phase-Noise VCO Monolithically Integrated with High Q MEMS Inductors

Park, E.; Yoon, Jun-Bo; Hong, S.; Yoon, E., 28th European Solid-State Circuits Conference, pp.143 - 146, 2002-09

626
A 2.69uW Dual Quantization-based Capacitance-to-Digital Converter for Pressure, Humidity, and Acceleration Sensing in 0.18um CMOS

Park, Sujin; Lee, Geon-Hwi; Cho, SeongHwan, Conference on Optical and Infrared Interferometry and Imaging VII, pp.163 - 164, IEEE, 2018-06-20

627
A 2.6b/cycle-Architecture-Based 10b 1.7GS/s 15.4mW 4x-Time-Interleaved SAR ADC with a Multistep Hardware-Retirement Technique

Hong, Hyeok-Ki; Kang, HW; Jo, DS; Lee, DS; You, YS; Lee, YH; Park, HJ; et al, International Solid-State Circuits Conference (ISSCC), IEEE, 2015-02-25

628
A 2.6Gb/s 1.56mm2 near-optimal MIMO detector in 0.18um CMOS

Kim, T.-H.; Park, In-Cheol, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, pp.1 - 4, CICC 2010, 2010-09-19

629
A 2.7 to 9.3 GHz CMOS wideband amplifier combined with high pass filter for UWB system

Jung, M.-S.; Kim, C.-W.; Anh, P.T.; Kim, H.-T.; Lee, Sang-Gug, 7th International Conference on Advanced Communication Technology, ICACT 2005, v.1, no.0, pp.57 - 60, 7th International Conference on Advanced Communication Technology, 2005-02-21

630
A 2.71nJ/Pixel 3D-Stacked Gaze-Activated Object-Recognition System for Low-Power Mobile HMD Applications

Yoo, Hoi-Jun; Hong, In-Joon; Bong, Kyeongryeol; Shin, Dongjoo; Park, Seongwook; Lee, Kyuho; Kim, Youchang, IEEE International Solid- State Circuits Conference, pp.326 - 327, IEEE, 2015-02-25

631
A 2.79-mW 0.5%-THD CMOS Current Driver IC for Portable Electrical Impedance Tomography System

Jang, Jaeeun; Kim, Minseo; Bae, Joonsung; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference 2017, IEEE Asian Solid-State Circuits Conference 2017, 2017-11

632
A 2.85mW 0.12mm2 1.0V 11-bit 20-MS/s algorithmic ADC in 65nm CMOS

Nam, J.-W.; Jeon, Y.-D.; Cho, Y.-K.; Lee, Sang-Gug; Kwon, J.-K., 35th European Solid-State Circuits Conference, ESSCIRC 2009, pp.468 - 471, 2009-09-14

633
A 20 Gbps 1-Tap Decision Feedback Equalizer with Unfixed Tap Coefficient

Kim, Yong-Hun; Kim, Lee-Sup, 2012 IEEE International Symposium on Circuits and Systems, IEEE, 2012-05-21

634
A 20 μw contact impedance sensor for wireless body-area-network transceiver

Song, Kiseok; Bae, Joonsung; Yan, Long; Yoo, Hoi-Jun, IEEE Custom Integrated Circuits Conference - CICC 2011, IEEE, 2011-09-19

635
A 20-MHz 2.3-mW Receiver and a 25-V Transmitter for Ultrasound Capsule Endoscopy

Jeong, Kyeongwon; Choi, Jaesuk; Yun, Gichan; Choi, Injun; Son, Jeehoon; Hwang, Jae Youn; Ha, Sohmyung; et al, 2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022, Institute of Electrical and Electronics Engineers Inc., 2022-11

636
A 200mA digital low-drop-out regulator with coarse-fine dual loop in mobile application processors

Lee, Yong-Jin; Jung, Min-Yong; Shashank Singh; Kong, Tae-Hwang; Kim. Dae-Yong; Kim, Kwang-Ho; Kim, Sang-Ho; et al, 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, pp.150 - U201, IEEE, 2016-02-02

637
A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection

Lee, S.; Yoo, J.; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.1954 - 1957, IEEE, 2008-05-18

638
A 200MHz/90dB Gain Range CMOS VGA

Cho, Gyu-Hyeong, pp.1 - 4, IEEE, 2000

639
A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Oh, Sejong; Woo, Jeong-Ho; et al, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, Institute of Electrical and Electronics Engineers Inc., 2009-02-08

640
A 2048-Point FFT Processor Based on Twiddle Factor Table Reduction

Kim , JH; Park, In-Cheol, IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips 2007), pp.351 - 364, IEEE, 2007-04

641
A 20Gb/s 1:4 DEMUX without inductors in 0.13um CMOS

Kim, B.-G.; Kim, Lee-Sup; Byun, S.; Yu, H.-K., 2006 IEEE International Solid-State Circuits Conference, ISSCC, ISSCC, 2006-02-06

642
A 20Gb/s Transceiver with Framed-Pulsewidth Modulation in 40nm CMOS

Jeon, Sejun; Kwon, WooHyun; Yoon, Taehun; Yoon, Jong-Hyeok; Kwon, Kyeongha; Yang, Jaehyeok; Bae, Hyeon-Min, 65th International Solid-State Circuits Conference (ISSCC), pp.270, IEEE, 2018-02-11

643
A 210 nW 29.3 ppm/C 0.7 V Voltage Reference with a Temperature Range of -50 to 130 C in 0.13 um CMOS

Lee, Junghyup; Cho, SeongHwan, IEEE Symposium on VLSI Circuits, pp.278 - 279, IEEE, 2011-06-17

644
A 210MHz 15mW unified vector and transcendental function unit for handheld 3-D graphics systems

Nam, B.-G.; Kim, H.; Yoo, Hoi-Jun, 2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.95 - 98, 2006-11-13

645
A 210mW graphics LSI implementing full 3D pipeline with 264Mtexels/s texturing for mobile multimedia applications

Woo, R.; Cho,i S.; Sohn, J.-H.; Song, S.-J.; Bae, Y.-D.; Yoon, C.-W.; Nam, B.-G.; et al, 2003 Digest of Technical Papers, 2003-02-09

646
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 34th European Solid-State Circuits Conference, ESSCIRC 2008, pp.462 - 465, IEEE, 2008-09-15

647
A 2144.2-bits/min/mW 5-Heterogeneous PE-based Domain-Specific Reconfigurable Array Processor for 8-Ch Wearable Brain-Computer Interface SoC

Byun, Wooseok; Je, Minkyu; Kim, Ji-Hoon, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

648
A 21fJ/conv-step 9 ENOB 1.6GS/s 2x Time-Interleaved FATI SAR ADC with Background Offset and Timing-Skew Calibration in 45nm CMOS

Sung, BRS; Jo, DS; Jang, IH; Lee, DS; You, YS; Lee, YH; Park, HJ; et al, International Solid-State Circuits Conference (ISSCC), IEEE, 2015-02-25

649
A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications

Lee, Jinmook; Shin, Dongjoo; Yoo, Hoi-Jun, 13th IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.237 - 240, IEEE Asian Solid-State Circuits Conference 2017, 2017-11

650
A 22.4 mW competitive fuzzy edge detection processor for volume rendering

Kwon, J.; Kim, M.; Oh, J.; Yoo, Hoi-Jun, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.1883 - 1886, IEEE, 2010-05-30

651
A 22.6 mu W Biopotential Amplifier with Adaptive Common-Mode Interference Cancelation Achieving Total-CMRR of 104dB and CMI Tolerance of 15V(pp) in 0.18 mu m CMOS

Koo, Nahmil; Kim, Hyojun; Cho, SeongHwan, IEEE International Solid-State Circuits Conference (ISSCC), pp.396 - +, IEEE, 2021-02

652
A 22.8-to-32.4 GHz Injection-locked Frequency Tripler with Source Degeneration

Shin, Saebyeok; Utomo, Dzuhri Radityo; Jung,Hyunki; Han, Seok-Kyun; Lee, Sang-Gug; Kim, Jusung, 15th International SoC Design Conference(ISOCC), pp.107 - 108, ISOCC, 2018-11-14

653
A 22.8GOPS 2.83mW neuro-fuzzy Object Detection Engine for fast multi-object recognition

Kim, Minsu; Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.260 - 261, Institute of Electrical and Electronics Engineers Inc., 2009-06-16

654
A 227pJ/b-83dBm 2.4GHz Multi-Channel OOK Receiver Adopting Receiver-Based FLL

Lee, Jae-Seung; Kim, Joo-Myoung; Lee, Jae-Sup; Han, Seok-Kyun; Lee, Sang-Gug, 2015 IEEE International Solid-State Circuits Conference, IEEE, 2015-02

655
A 230-260GHz wideband amplifier in 65nm CMOS based on dual-peak Gmax-core

Park, Dae-Woong; Dzuhri Radityo Utomo; Hong, Jong-Phil; Lee, Sang-Gug, 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp.301 - 302, IEEE, 2018-01

656
A 230–260GHz wideband amplifier in 65nm CMOS based on dual-peak Gmax-core

Park, Dae-Woong; Dzuhri Radityo Utomo; Hong, Jong-Phil; Lee, Sang-Gug, 2017 Symposium on VLSI Circuits, IEEE, 2017-06

657
A 231 MHz, 2.18mW 32-bit logarithmic arithmetic unit for fixed-point 3D graphics system

Kim, H.; Nam, B.-G.; Sohn, J.-H.; Yoo, Hoi-Jun, 1st IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.305 - 308, 2005-11-01

658
A 237-263 GHz CMOS Frequency Doubler with 0.9 dBm Output Power and 2.87 % Power Efficiency Based on Harmonic Matched Gmax-Core

Moon, Byeong-Taek; Yun, ByeongHun; Lee, Sang-Gug, 2022 IEEE/MTT-S International Microwave Symposium, IMS 2022, pp.653 - 656, Institute of Electrical and Electronics Engineers Inc., 2022-06-22

659
A 23W Solar-Powered Keyword-Spotting ASIC with Ring-Oscillator-Based Time-Domain Feature Extraction

Kim, Kwantae; Gao, Chang; Graca, Rui; Kiselev, Ilya; Yoo, Hoi-Jun; Delbruck, Tobi; Liu, Shih-Chii, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.370 - 372, Institute of Electrical and Electronics Engineers Inc., 2022-02

660
A 24 μW 38.51 mΩrms Resolution Bio-Impedance Sensor with Dual Path Instrumentation Amplifier

Kim, Kwantae; Song, Kiseok; Bong, Kyeongryeol; Lee, Jaehyuk; Lee, Kwonjoon; Lee, Yongsu; Ha, Unsoo; et al, European Solid-State Circuits Conference 2017, European Solid-State Circuits Conference 2017, 2017-09

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0