Browse "EE-Conference Papers(학술회의논문)" by Type Conference

Showing results 421 to 480 of 22767

421
A Modularized Two-Stage Charge Equalization Converter for Series Connected Lithium-Ion Battery Strings

Kim, Chol-Ho; Park, Hong-Sun; Moon, Gun- Woo, 2008년 전력전자학술대회, pp.535 - 537, KIPE, 2008-07-01

422
A New External Force for Active Contour Model: Virtual Electric Field

Chung, Myung Jin; Park Hyun Keun, IASTED International Conference on Visualization, Imaging and Image Processing, pp.103 - 106, IASTED, 2002-09

423
A Novel Predictive Current Control of Induction Motor Using Resonant DC Link Inverter

Oh, In-Hwan; Moon, GunWoo; Youn, Myung Joong, Industrial Electronics, Control, and Instrumentation, 1996., Proceedings of the 1996 IEEE IECON 22nd International Conference, pp.1106 - 1111, IEEE, 1996-08

424
A 'personalized' facial expression recognition with fuzzy similarity measure and novel feature selection method

Kim D.-J.; Bien, Zeung nam, 2004 IEEE International Conference on Fuzzy Systems, v.1, pp.33 - 38, 2004-07-25

425
A -240dB-FoMjitter and -115dBc/Hz PN @ 100kHz, 7.7GHz Ring-DCO-Based Digital PLL Using P/I-Gain Co-Optimization and Sequence-Rearranged Optimally Spaced TDC for Flicker-Noise Reduction

Lee, Yongsun; Seong, Taeho; Lee, Jeonghyun; Hwanq, Chanwoong; Park, Hangi; Choi, Jaehyouk, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020, pp.266 - 268, Institute of Electrical and Electronics Engineers Inc., 2020-02-19

426
A -242dB FOM and -75dBc-reference-spur ring-DCO-based all-digital PLL using a fast phase-error correction technique and a low-power optimal-threshold TDC

Seong, Taeho; Lee, Yongsun; Yoo, Seyeon; Choi, Jaehyouk, 65th IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.396 - 398, Institute of Electrical and Electronics Engineers Inc., 2018-02-13

427
A -31dBc integrated-phase-noise 29GHz fractional-N frequency synthesizer supporting multiple frequency bands for backward-compatible 5G using a frequency doubler and injection-locked frequency multipliers

Yoon, Heein; Kim, Juyeop; Park, Suneui; Lim, Younghyun; Lee, Yongsun; Bang, Jooeun; Lim, Kyoohyun; et al, 65th IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.366 - 368, Institute of Electrical and Electronics Engineers Inc., 2018-02-13

428
A -50 to 130°C, 38.69 pJ/conv Fully Integrated SAR Temperature Sensor Based on Direct Temperature-Voltage Comparison

Kim, Jooeun; Kim, Jeongmyeong; Park, ChangJoo; Yang, Minkyu; Jung, Wanyeong, 2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022, Institute of Electrical and Electronics Engineers Inc., 2022-11-09

429
A 0.0046mm2 6.7μW Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with >0.68MHz GBW without Compensation Zero

Shin, Hongseok; Kim, Jinuk; Jang, Doojin; Cho, Donghee; Jung, Yoontae; Cho, Hyungjoo; Lee, Unbong; et al, 2020 IEEE Symposium on VLSI Circuits, IEEE, 2020-06

430
A 0.0084-mV-FOM, Fast-Transient and Low-Power External-Clock-Less Digital LDO Using a Gear-Shifting Comparator for the Wide-Range Adaptive Sampling Frequency

Bang, Jooeun; Choi, Seojin; Yoo, Seyeon; Lee, Jeonghyun; Kim, Juyeop; Choi, Jaehyouk, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC), pp.351 - 354, IEEE, 2021-09-13

431
A 0.014mm<sup>2</sup> 9b switched-current DAC for AMOLED mobile display drivers

Kim, Hyun Sik; Jeon, Jin Yong; Lee, Sung Woo; Yang, Jun Hyeok; Ryu, Seung Tak; Cho, Gyu Hyeong, 2011 IEEE International Solid- State Circuits Conference - (ISSCC), IEEE, 2011-02

432
A 0.014mm2 9b switched-current DAC for AMOLED mobile display drivers

Kim, H.-S.; Jeon, J.-Y.; Lee, S.-W.; Yang, J.-H.; Ryu, Seung-Tak; Cho, Gyu-Hyeong, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.316 - 317, IEEE, 2011-02-20

433
A 0.18 um CMOS UWB RF Transmitter for DS-CDMA Applications

홍성철; 구본현; 이희동; 이경애; 백지선; 박봉혁, 한국반도체학술대회, 2006

434
A 0.18 ㎛ Radiation Hardening Shift Register using Guard Gate technique to Reduce SEUs

Noh, Youngtak; Lee, Hee Chul, ISOCC(International SoC Design Conference) 2014, IEIE, 2014-11-04

435
A 0.18um CMOS 10Gb/s 1:4 DEMUX using replica-bias circuits for optical receiver

Hong, J.-P.; Ha, K.-S.; Kim, Lee-Sup, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.5708 - 5711, 123, 2006-05-21

436
A 0.18um Si-CMOS limiting amplifier for 10-Gb/s optical iInterconnection

Kang, SK; Lee, TW; Park, HyoHoon, OECC, v.0, no.0, pp.654 - 655, OECC, 2005-07-04

437
A 0.18μm CMOS 10Gb/s 1:4 DEMUX Using Replica-Bias Circuits for Optical Receiver

Hong, JP; Ha, KS; Kim, Lee-Sup, ISCAS 2006, pp.5708 - 5711, IEEE, 2006-05-21

438
A 0.22–0.89 mW Low-Power and Highly-Secure Always-on Face Recognition Processor with Adversarial Attack Prevention

Kim, Youngwoo; Yoo, Hoi-Jun; Han, Donghyeon; Kim, Changhyeon, 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Institute of Electrical and Electronics Engineers Inc., 2020-10-21

439
A 0.24nJ/b wireless body-area-network transceiver with scalable double-FSK modulation

Bae, J.; Song, K.; Lee, H.; Cho, H.; Yan, L.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.34 - 35, IEEE, 2011-02-20

440
A 0.5 - 5.8 GHz Wideband Two-gain Mode Low Noise Amplifier

Park, Chul Soon, 17th ITS World congress, 2010-11

441
A 0.5 - 5.8 GHz Wideband Two-gain Mode Low Noise Amplifier

Park, Chul Soon, 17th ITS World congress, 17th ITS World congress, 2010-10-25

442
A 0.5-degree Error 10mW CMOS Image Sensor-based Gaze Estimation Processor with Logarithmic Processing

Yoo, Hoi-Jun; Bong, Kyeongryeol; Hong, Injoon; Kim, Gyeonghoon, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C46 - C47, IEEE, 2015-06-17

443
A 0.518mm(2) Quasi-Current-Mode Hysteretic Buck DC-DC Converter with 3 mu s Load Transient Response in 0.35 mu m BCDMOS

Lee, Sang-Han; Bang, Jun-Suk; Yoon, Kye-Seok; Hong, Sung-Wan; Shin, Chang-Sik; Jung, Min-Yong; Cho, Gyu-Hyeong, 2015 62nd IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers, pp.214 - 215, Institute of Electrical and Electronics Engineers Inc., 2015-02-24

444
A 0.518mm2 quasi-current-mode hysteretic buck DC-DC converter with 3μs load transient response in 0.35μm BCDMOS

Lee, Sang-Han; Bang, Jun-Suk; Yoon, Kye-Seok; Hong, Sung-Wan; Shin, Chang-sik; Jung, Min-Yong; Cho, Gyu-Hyeong, 2015 IEEE International Solid-State Circuits Conference, ISSCC2015, pp.1 - 3, IEEE, 2015-02-25

445
A 0.53mW Ultra-Low-Power 3D Face Frontalization Processor for Face Recognition with Human-Level Accuracy in Wearable Devices

Kang, Sanghoon; Lee, Jinmook; Lee, Jinsu; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1902 - 1905, IEEE, 2017-05

446
A 0.54-mW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication

Yoo, Hoi-Jun; Jang, Jaeeun; Lee, Yongsu; Cho, Hyunwoo, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1230 - 1233, IEEE, 2015-05-26

447
A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

Kim, Youchang; Shin, Dongjoo; Lee, Jin Su; Lee, Yongsu; Yoo, Hoi-Jun, 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp.258 - U357, IEEE, 2016-02-02

448
A 0.5uVrms 12uW patch type fabric sensor for wearable body sensor network

Yan, L.; Yoo, J.; Kim, B.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.105 - 108, 2009-11-16

449
A 0.5V 2.41GHz, 196.3dBc/Hz FoM differential colpitts VCO with an output voltage swing exceeding supply and ground potential requiring no additional inductor

Kim, Joo-Myoung; Kim, Seong Joong; Han, Seok Kyun; Lee, Sang-Gug, 2013 IEEE Radio Frequency Integrated Circuits Symposium, pp.39 - 42, IEEE, 2013-06

450
A 0.5V 54 mu W Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression

Yoo, Hoi-Jun; Kim, Youchang; Hong, Injoon, IEEE International Solid- State Circuits Conference, pp.330 - 331, IEEE, 2015-02-25

451
A 0.5V 9.26 mu W 15.28m Omega/root Hz Bio-Impedance Sensor IC with 0.55 degrees Overall Phase Error

Kim, Kwantae; Kim, Ji-Hoon; Gweon, Surin; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Kim, Soyeon; et al, IEEE International Solid- State Circuits Conference (ISSCC), pp.364 - +, IEEE, 2019-02

452
A 0.5V CMOS Temperature-Independent Current Reference Circuit

이창교; 성바로샘; 선우희영; 권지욱; 류승탁, SoC학술대회, 2010

453
A 0.5V, 6.2μW, 0.059mm2 Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing

Kim, Kwantae; Yoo, Hoi-Jun; Kim, Changhyeon; Choi, Sungpill, IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

454
A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC

Sohn, Kyomin; Choi, Sungdae; Woo, Jeong-Ho; Kim, Joo-Young; Yoo, Hoi-Jun, 006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.315 - 318, Institute of Electrical and Electronics Engineers Inc., 2006-11-13

455
A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Kang, Sanghoon; Kim, Youchang; Yoo, Hoi-Jun, Internatioal Solid-State Circuits Conference, IEEE, 2017-02

456
A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 μm CMOS for 10mm on-chip interconnects

Bae, Joonsung; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.2861 - 2864, Institute of Electrical and Electronics Engineers Inc., 2008-05-18

457
A 0.75-6.75 GHz Receiver with a Digitally Controlled LO Generator for Software-Defined Radio

Park, Chul Soon, 2010 IEEE International Microwave Workshop Series on RF Front-ends for Software Defined and Cognitive Radio Solution (IMWS), 2010-02-22

458
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10(-6) BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

Lee, Jiwon; Lee, Kyoung-Rog; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

459
A 0.791mm2 fully on-chip controller with self-error-correction for boost DC-DC converter based on Zero-Order Control

Kong, Tae-Hwang; Hong, Sung-Wan; Lee, Sungwoo; Im, Jong-Pil; Cho, Gyu-Hyeong, 2012 IEEE Custom Integrated Circuits Conference, CICC 2012, pp.1 - 4, IEEE, 2012-09-10

460
A 0.7fJ/bit/search, 2.2ns search time hybrid type TCAM architecture

Choi, S.; Sohn, K.; Lee, M.-W.; Kim, S.; Choi, H.-M.; Kim, D.; Cho, U.-R.; et al, Digest of Technical Papers - 2004 IEEE International Solid-State Circuits Conference, v.47, pp.498 -, 2003-02-15

461
A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication

Ha, Unsoo; Cho, Hyunwoo; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.1183 - 1186, IEEE, 2013-05-21

462
A 0.7V 17fJ/Step-FOMW178.1dB-FOMSNDR10kHz-BW 560mVPPTrue-ExG Biopotential Acquisition System with Parasitic-Insensitive 421MΩ Input Impedance in 0.18m CMOS

Lee, Sehwan; Choi, Yoonsung; Kim, Geunha; Baik, Seungyeob; Seol, Taeryoung; Jang, Homin; Lee, Doyoung; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.336 - 338, Institute of Electrical and Electronics Engineers Inc., 2022-02

463
A 0.87 V 12.5 Gb/s Clock-Path Feedback Equalization Receiver with Unfixed Tap Weighting Property in 65 nm CMOS

Lee, Daewoong; Lee, Dongil; Kim, Yong-Hun; Kim, Lee-Sup, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C196 - C197, The IEEE Solid-State Circuits Society,The Japan Society of Applied Physics, 2019-06-12

464
A 0.8V 82.9μW In-ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver

Lee, Jaehyuk; Lee, Kyoung-Rog; Ha, Unsoo; Kim, Ji-Hoon; Lee, Kwonjoon; Yoo, Hoi-Jun, Symposia on VLSI Technology and Circuits, Symposia on VLSI Technology and Circuits, 2018-06

465
A 0.8V, 37nW, 42ppm/degrees C Sub-Bandgap Voltage Reference with PSRR of-81dB and Line Sensitivity of 51ppm/V in 0.18um CMOS

Kim, Myungjun; Cho, SeongHwan, 31st Symposium on VLSI Circuits, pp.C144 - C145, IEEE, 2017-06-07

466
A 0.8V, 37nW, 42ppm/°C sub-bandgap voltage reference with PSRR of-81dB and line sensitivity of 51ppm/V in 0.18um CMOS

Kim, Myungjun; Cho, SeongHwan, 31st Symposium on VLSI Circuits, VLSI Circuits 2017, pp.C144 - C145, Institute of Electrical and Electronics Engineers Inc., 2017-06

467
A 0.9-v 67-uw analog front-end using adaptive-SNR technique for digital hearing aid

Kim, S.; Lee, J.-Y.; Song, S.-J.; Cho, N.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.740 - 743, 2005-05-23

468
A 0.9-V 96-uW Digital Hearing Aid Chip with Heterogeneous sigma-delta DAC

Yoo, Hoi-Jun; Kim, Sunyoung; Cho, Namjun; Song, Seong-Jun; Kim, Donghyun; Kim, Kwanho, IEEE Symposium On VLSI Circuits (SOVC) 2006, pp.68 - 69, 2006

469
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.37 - 40, Institute of Electrical and Electronics Engineers Inc., 2022-06

470
A 0.9V 2.6mW body-coupled scalable PHY transceiver for body sensor applications

Song, S.-J.; Cho, N.; Kim, S.; Yoo, J.; Choi, S.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.366 - 367, 2007-02-11

471
A 0.9V 2MHz 6.4x-Slope-Boosted Quadrature-Phase Relaxation Oscillator with 164.2dBc/Hz FoM and 62.5ppm Period Jitter in 0.18μm CMOS

Seong, Hoyong; Youn, Donghyun; Choi, Injun; Lee, Junghyup; Ha, Sohmyung; Je, Minkyu, 2023 IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2023-04

472
A 0.9V 6.3μW Multistage Amplifier Driving 500pF Capacitive Load with 1.34MHz GBW

Qu, Wanyuan; Im, Jong Pil; Kim, Hyun Sik; Cho, Gyu-Hyeong, 2014 IEEE International Solid-State Circuits Conference, ISSCC 2014, pp.290 - 292, IEEE, 2014-02-11

473
A 1 mW Current-Reused CMOS Differential LC-VCO with Low Phase Noise

Lee, Sang-Gug, IEEE International Solid-State Circuits Conference, v.29, no.6, pp.0 - 0, IEEE International Solid-State Circuits Conference, 2005-02-01

474
A 1 V 2.5 μW Fully-Differential ASK Demodulator with 12.5 pJ/bit FOM for Ultra-Low Power Biomedical Applications

Baik, Seungyeob; George, Arup K.; Je, Minkyu; Lee, Junghyup, IEEE International Midwest Symposium on Circuits and Systems, IEEE, 2017-08-08

475
A 1,024-Channel, 64-Interconnect, Capacitive Neural Interface Using a Cross-Coupled Microelectrode Array and 2-Dimensional Code-Division Multiplexing

Choi, Woojun; Chen, Yiyang; Kim, Donghwan; Weaver, Sean; Schlotter, Tilman; Livanelioglu, Can; Liao, Jiawei; et al, 2023 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2023, Institute of Electrical and Electronics Engineers Inc., 2023-06-12

476
A 1-to-4 all-optical multi-wavelength conversion using absorption modulation of an injection-locked Fabry-Perot laser diode

Hoai, T.Q.; Jeong, YD; Cho, JS; Won, Yong Hyub, COIN-NGNCON 2006 - The Joint International Conference on Optical Internet and Next Generation Network, pp.337 - 339, 2006-07-09

477
A 1-W, 800-MHz, switch-mode cmos RF power amplifier using an on-chip transformer with double primary sides

Shim, S.; Hong, Songcheol, 2009 IEEE Radio and Wireless Symposium, RWS 2009, pp.538 - 541, 123, 2008-01-18

478
A 1.02 μW STT-MRAM based DNN ECG Arrhythmia Monitoring SoC with Leakage-Based Delay MAC Unit

Lee, Kyoung-Rog; Yoo, Hoi-Jun; KIM, JIHOON; Kim, Changhyun; Han, D; LEE, JUHYOUNG; Lee, Jinsu; et al, IEEE A-SSCC (Asian Solid-State Circuits Conference), Institute of Electrical and Electronics Engineers Inc., 2020-11-09

479
A 1.12mW continuous healthcare monitor chip integrated on a planar fashionable circuit board

Kim, H.; Kim, Y.; Kwon, Young Se; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.150 - 151, 2008-02-03

480
A 1.12pJ/b resonance compensated inductive transceiver with a fault-tolerant network controller for wearable body sensor networks

Yoo, J.; Lee, S.; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.313 - 316, 2008-11-03

rss_1.0 rss_2.0 atom_1.0