Browse "School of Electrical Engineering(전기및전자공학부)" by Author Yoo, Hoi-Jun

Showing results 1 to 60 of 731

1
0.025 mJ/image Fast-scan and SNR Enhanced Electrical Impedance Tomography IC for Lung Ventilation Monitoring

Lee, Jaehyuk; Ha, Unsoo; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.17, no.6, pp.920 - 926, 2017-12

2
1-Gb/s 80-dB Omega fully differential CMOS transimpedance amplifier in multichip on oxide technology for optical interconnects

Park, SM; Lee, JS; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.39, pp.971 - 974, 2004-06

3
1.15mW Mixed-mode Neuro-Fuzzy Accelerator for keypoint Localization in Image Processing

Hong, Injoon; Oh, Jinwook; Yoo, Hoi-Jun, The 54th IEEE International Midwest Symposium on Circuits and Systems,IEEE MWSCAS 2011, IEEE, 2011-08-10

4
1.2 Intelligence on Silicon: From Deep-Neural-Network Accelerators to Brain Mimicking AI-SoCs

Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.20 - 26, Institute of Electrical and Electronics Engineers Inc., 2019-02

5
1.2-mW Online Learning Mixed-Mode Intelligent Inference Engine for Low-Power Real-Time Object Recognition Processor

Oh, Jin-Wook; Lee, Seung-Jin; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.21, no.5, pp.921 - 933, 2013-05

6
1.25-Gb/s regulated cascode CMOS transimpedance amplifier for Gigabit Ethernet applications

Park, SM; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.39, pp.112 - 121, 2004-01

7
1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication

Cho, Hyunwoo; Ha, Unsoo; Roh, Taehwan; Kim, Dongchurl; Lee, Jaehyuck; Oh, Yunje; Yoo, Hoi-Jun, IEEE International Solid-State Circuits Conference (ISSCC), 2013 , pp.202 - 203, IEEE, 2013-02-19

8
11.1 A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic-Bit-Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography

Lee, Jihee; Lee, Kyoung-Rog; Eovino, Benjamin E.; Park, Jeong Hoan; Lin, Liwei; Yoo, Hoi-Jun; Yoo, Jerald, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.190 - 192, Institute of Electrical and Electronics Engineers Inc., 2019-02

9
17.7 A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System

Lee, Yongsu; Kim, Kwantae; Lee, Jiwon; Lee, Kyoung-Rog; Gweon, Surin; Kim, Minseo; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.288 - 290, Institute of Electrical and Electronics Engineers Inc., 2019-02

10
2.5 Gbit/s CMOS transimpedance amplifier for optical communication applications

Park, SM; Yoo, Hoi-Jun, ELECTRONICS LETTERS, v.39, pp.211 - 212, 2003-01

11
22.3 A 0.5V 9.26μW 15.28mΩ/√Hz Bio-Impedance Sensor IC with 0.55° Overall Phase Error

Kim, Kwantae; Kim, Ji-Hoon; Gweon, Surin; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Kim, Soyeon; et al, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.364 - 366, Institute of Electrical and Electronics Engineers Inc., 2019-02

12
24-GOPS 4.5-mm(2) Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC

Lee, Seung-Jin; Kim, Min-Su; Kim, Kwan-Ho; Kim, Joo-Young; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON NEURAL NETWORKS, v.22, no.1, pp.64 - 73, 2011-01

13
3.8 mW Electrocardiogram (ECG) Filtered Electrical Impedance Tomography IC using I/Q Homodyne Architecture for Breast Cancer Diagnosis

Lee, Yongsu; Ha, Unsoo; Song, Kiseok; Yoo, Hoi-Jun, 2014 IEEE International Symposium on Circuits and Systems, pp.2389 - 2392, IEEE, 2014-06-04

14
32TFLOPS function-safe programmable many-core AI processor = 32TFLOPS 기능안전 인공지능 프로세서link

Han, Jinho; Yoo, Hoi-Jun; et al, 한국과학기술원, 2020

15
4-Camera VGA-Resolution Capsule Endoscope with 80Mb/s Body-Channel Communication Transceiver and Sub-cm Range Capsule Localization

Jang, Jaeeun; Lee, Jihee; Lee, Kyoung-Rog; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Bae, Joonsung; et al, 65th IEEE International Solid-State Circuits Conference (ISSCC), pp.282 - +, IEEE, 2018-02

16
480ps 64-bit Race Logic adder

Lee, S.-J.; Woo, R.; Yoo, Hoi-Jun, 2001 VLSI Circuits Symposium, pp.27 - 28, IEEE, 2001-06-14

17
670 ps, 64 bit dynamic low-power adder design

Woo, Ramchan; Lee, Se-Joong; Yoo, Hoi-Jun, Proceedings of the IEEE 2000 Internaitonal Symposium on Circuits and Systems, v.1, 2000-05-28

18
7.1GB/sec Bandwidth 3D Rendering Engine Using the EML Technology

Yoo, Hoi-Jun; Park, Yong-Ha; Woo, Ramchan; Han, Seon-Ho; Kim, Jung-Su; Lee, Se-Joong; Kook, Jeong-Hoon; et al, International Conference on VLSI and CAD, pp.277 - 280, 1999

19
7.7 LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.142 - 144, Institute of Electrical and Electronics Engineers Inc., 2019-02

20
79pJ/b 80Mb/s full-duplex transceiver and 42.5jiW 100kb/s super-regenerative transceiver for body channel communication

Cho, Hyunwoo; Kim, Hyunki; Kim, Minseo; Jang, Jaeeun; Yoo, Hoi-Jun, IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications, IMWS-BIO 2015, pp.14 - 15, Institute of Electrical and Electronics Engineers Inc., 2015-09

21
80 mu W/MHz, 850 MHz Fault Tolerant Processor with Fault Monitor Systems

Han, Jin Ho; Kwon, Youngsu; Shin, Kyeongsun; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.17, no.5, pp.627 - 635, 2017-10

22
80/20MHz 160mW Multimedia Processor integrated withEmbedded DRAM MPEG-4 Accelerator 3D Rendering Engine for Mobile Applications

Yoo, Hoi-Jun; Yoon, C.W.; Wooo, R.; Kook, J.; Lee, S.J.; Lee, K.; Bae, Y.D.; et al, 2001 International Solid State Circuit Conference, pp.142 - 143, 2001

23
81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC

Kim, Dong-Hyun; Kim, Kwan-Ho; Kim, Joo-Young; Lee, Seung-Jin; Lee, Se-Joong; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.17, no.3, pp.370 - 383, 2009-03

24
92mW 76.8GOPS Vector Matching Processor with Parallel Huffman Decoder and Query Re-ordering Buffer for Real-time Object Recognition

Yoo, Hoi-Jun; Lee, SJ; Kwon, JS; Oh, JW; Park, JY, A-SSCC 2010, A-SSCC 2010, 2010

25
93.8% current efficiency and 0.672 ns transient response reconfigurable LDO for wireless sensor network systems

Gweon, Surin; Lee, Jaehyuk; Kim, Kwantae; Yoo, Hoi-Jun, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019, Institute of Electrical and Electronics Engineers Inc., 2019-05

26
A 0.2-mW 2-mb/s digital transceiver based on wideband signaling for human body communications

Song, SJ; Cho, N; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.42, pp.2021 - 2033, 2007-09

27
A 0.22-0.89 mW Low-Power and Highly-Secure Always-On Face Recognition Processor With Adversarial Attack Prevention

Kim, Youngwoo; Han, Donghyeon; Kim, Changhyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.5, pp.846 - 850, 2020-05

28
A 0.22–0.89 mW Low-Power and Highly-Secure Always-on Face Recognition Processor with Adversarial Attack Prevention

Kim, Youngwoo; Yoo, Hoi-Jun; Han, Donghyeon; Kim, Changhyeon, 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Institute of Electrical and Electronics Engineers Inc., 2020-10-21

29
A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation

Bae, Joon-Sung; Song, Ki-Seok; Lee, Hyung-Woo; Cho, Hyun-Woo; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.47, no.1, pp.310 - 322, 2012-01

30
A 0.24nJ/b wireless body-area-network transceiver with scalable double-FSK modulation

Bae, J.; Song, K.; Lee, H.; Cho, H.; Yan, L.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.34 - 35, IEEE, 2011-02-20

31
A 0.5 degrees Error 10 mW CMOS Image Sensor-Based Gaze Estimation Processor

Bong, Kyeongryeol; Hong, Injoon; Kim, Gyeonghoon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.51, no.4, pp.1032 - 1040, 2016-04

32
A 0.5 V 54 mu W Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation

Kim, Youchang; Hong, Injoon; Park, Junyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.63, no.3, pp.359 - 369, 2016-03

33
A 0.5-degree Error 10mW CMOS Image Sensor-based Gaze Estimation Processor with Logarithmic Processing

Yoo, Hoi-Jun; Bong, Kyeongryeol; Hong, Injoon; Kim, Gyeonghoon, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C46 - C47, IEEE, 2015-06-17

34
A 0.5-mu V-rms 12-mu W Wirelessly Powered Patch-Type Healthcare Sensor for Wearable Body Sensor Network

Yan, Long; Yoo, Jerald; Kim, Bin-Hee; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.45, pp.2356 - 2365, 2010-11

35
A 0.5-V Sub-10-mu W 15.28-m Omega/root Hz Bio-Impedance Sensor IC With Sub-1 degrees Phase Error

Kim, Kwantae; Kim, Ji-Hoon; Gweon, Surin; Kim, Minseo; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.55, no.8, pp.2161 - 2173, 2020-08

36
A 0.53mW Ultra-Low-Power 3D Face Frontalization Processor for Face Recognition with Human-Level Accuracy in Wearable Devices

Kang, Sanghoon; Lee, Jinmook; Lee, Jinsu; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1902 - 1905, IEEE, 2017-05

37
A 0.54-mW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication

Yoo, Hoi-Jun; Jang, Jaeeun; Lee, Yongsu; Cho, Hyunwoo, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1230 - 1233, IEEE, 2015-05-26

38
A 0.55 V 1.1 mW Artificial Intelligence Processor With On-Chip PVT Compensation for Autonomous Mobile Robots

Kim, Youchang; Shin, Dongjoo; Lee, Jinsu; Lee, Yongsu; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.65, no.2, pp.567 - 580, 2018-02

39
A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

Kim, Youchang; Shin, Dongjoo; Lee, Jin Su; Lee, Yongsu; Yoo, Hoi-Jun, 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp.258 - U357, IEEE, 2016-02-02

40
A 0.5uVrms 12uW patch type fabric sensor for wearable body sensor network

Yan, L.; Yoo, J.; Kim, B.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.105 - 108, 2009-11-16

41
A 0.5V 54 mu W Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression

Yoo, Hoi-Jun; Kim, Youchang; Hong, Injoon, IEEE International Solid- State Circuits Conference, pp.330 - 331, IEEE, 2015-02-25

42
A 0.5V 9.26 mu W 15.28m Omega/root Hz Bio-Impedance Sensor IC with 0.55 degrees Overall Phase Error

Kim, Kwantae; Kim, Ji-Hoon; Gweon, Surin; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Kim, Soyeon; et al, IEEE International Solid- State Circuits Conference (ISSCC), pp.364 - +, IEEE, 2019-02

43
A 0.5V, 6.2μW, 0.059mm2 Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing

Kim, Kwantae; Yoo, Hoi-Jun; Kim, Changhyeon; Choi, Sungpill, IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

44
A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC

Sohn, Kyomin; Choi, Sungdae; Woo, Jeong-Ho; Kim, Joo-Young; Yoo, Hoi-Jun, 006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.315 - 318, Institute of Electrical and Electronics Engineers Inc., 2006-11-13

45
A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Kang, Sanghoon; Kim, Youchang; Yoo, Hoi-Jun, Internatioal Solid-State Circuits Conference, IEEE, 2017-02

46
A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 μm CMOS for 10mm on-chip interconnects

Bae, Joonsung; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.2861 - 2864, Institute of Electrical and Electronics Engineers Inc., 2008-05-18

47
A 0.7-fJ/bit/search 2.2-ns search time hybrid-type TCAM architecture

Choi, S; Sohn, K; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.40, pp.254 - 260, 2005-01

48
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10(-6) BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

Lee, Jiwon; Lee, Kyoung-Rog; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

49
A 0.7fJ/bit/search, 2.2ns search time hybrid type TCAM architecture

Choi, S.; Sohn, K.; Lee, M.-W.; Kim, S.; Choi, H.-M.; Kim, D.; Cho, U.-R.; et al, Digest of Technical Papers - 2004 IEEE International Solid-State Circuits Conference, v.47, pp.498 -, 2003-02-15

50
A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication

Ha, Unsoo; Cho, Hyunwoo; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.1183 - 1186, IEEE, 2013-05-21

51
A 0.8-V 82.9-mu W In-Ear BCI Controller IC With 8.8 PEF EEG Instrumentation Amplifier and Wireless BAN Transceiver

Lee, Jaehyuk; Lee, Kyoung-Rog; Ha, Unsoo; Kim, Ji-Hoon; Lee, Kwonjoon; Gweon, Surin; Jang, Jaeeun; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.4, pp.1185 - 1195, 2019-04

52
A 0.82 mu W CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices

Ryu, Junha; Park, Gwangtae; Im, Dongseok; Kim, Ji-Hoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1700 - 1704, 2021-05

53
A 0.8V 82.9μW In-ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver

Lee, Jaehyuk; Lee, Kyoung-Rog; Ha, Unsoo; Kim, Ji-Hoon; Lee, Kwonjoon; Yoo, Hoi-Jun, Symposia on VLSI Technology and Circuits, Symposia on VLSI Technology and Circuits, 2018-06

54
A 0.9 V 96 mu W fully operational digital hearing aid chip

Kim, S; Cho, N; Song, SJ; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.42, pp.2432 - 2440, 2007-11

55
A 0.9-v 67-uw analog front-end using adaptive-SNR technique for digital hearing aid

Kim, S.; Lee, J.-Y.; Song, S.-J.; Cho, N.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.740 - 743, 2005-05-23

56
A 0.9-V 96-uW Digital Hearing Aid Chip with Heterogeneous sigma-delta DAC

Yoo, Hoi-Jun; Kim, Sunyoung; Cho, Namjun; Song, Seong-Jun; Kim, Donghyun; Kim, Kwanho, IEEE Symposium On VLSI Circuits (SOVC) 2006, pp.68 - 69, 2006

57
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.37 - 40, Institute of Electrical and Electronics Engineers Inc., 2022-06

58
A 0.9V 2.6mW body-coupled scalable PHY transceiver for body sensor applications

Song, S.-J.; Cho, N.; Kim, S.; Yoo, J.; Choi, S.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.366 - 367, 2007-02-11

59
A 1.02 μW STT-MRAM based DNN ECG Arrhythmia Monitoring SoC with Leakage-Based Delay MAC Unit

Lee, Kyoung-Rog; Yoo, Hoi-Jun; KIM, JIHOON; Kim, Changhyun; Han, D; LEE, JUHYOUNG; Lee, Jinsu; et al, IEEE A-SSCC (Asian Solid-State Circuits Conference), Institute of Electrical and Electronics Engineers Inc., 2020-11-09

60
A 1.02-μW STT-MRAM-Based DNN ECG arrhythmia monitoring SoC with leakage-based delay MAC unit

Lee, Kyoung-Rog; Kim, Jihoon; Kim, Changhyeon; Han, Donghyeon; Lee, Juhyoung; Lee, Jinsu; Jeong, Hongsik; et al, IEEE SOLID-STATE CIRCUITS LETTERS, v.3, pp.390 - 393, 2020-09

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0