Browse "College of Engineering(공과대학)" by Type Article

Showing results 28321 to 28380 of 62368

28321
Improved moment-based quadrature rule and its application to reliability-based design optimization

Ju, BH; Lee, Byung Chai, JOURNAL OF MECHANICAL SCIENCE AND TECHNOLOGY, v.21, no.8, pp.1162 - 1171, 2007-08

28322
Improved momentum exchange theory for incompressible regenerative turbomachines

Yoo, IS; Park, MR; Chung, Myung Kyoon, PROCEEDINGS OF THE INSTITUTION OF MECHANICAL ENGINEERS PART A-JOURNAL OF POWER AND ENERGY, v.219, no.7, pp.567 - 581, 2005-11

28323
Improved morphological stability of CoSi2 layer by in situ growth on polycrystalline silicon using reactive chemical vapor deposition

Lee, HS; Rhee, HS; Ahn, Byung Tae, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, v.149, no.1, pp.16 - 20, 2002-01

28324
Improved negative bias illumination instability of sol-gel gallium zinc tin oxide thin film transistors

Seo, Seok-Jun; Jeon, Jun-Hyuck; Hwang, Young-Hwan; Bae, Byeong-Soo, APPLIED PHYSICS LETTERS, v.99, no.15, 2011-10

28325
Improved neural network model for reverse engineering

Yang, Min-Yang; Lee, E, INTERNATIONAL JOURNAL OF PRODUCTION RESEARCH, v.38, no.9, pp.2067 - 2078, 2000-06

28326
Improved nodal equivalence with leakage-corrected cross sections and discontinuity factors for PWR depletion analysis

Lee, Kyunghoon; Kim, Woosong; Kim, Yonghee, NUCLEAR ENGINEERING AND TECHNOLOGY, v.51, no.5, pp.1195 - 1208, 2019-08

28327
Improved noise reduction with packet loss recovery based on post-filtering over IP networks

Kim, J; Lee, H; Ryu, W; Han, S; Hahn, Minsoo, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E91B, pp.975 - 979, 2008-03

28328
Improved Numerical Approach for the Bond-Slip Behavior under Cyclic Loads

Kwak, Hyo-Gyoung, STRUCTURAL ENGINEERING AND MECHANICS, v.5, no.5, pp.663 - 677, 1997-09

28329
Improved Operational Stability of Polymer Light-Emitting Diodes Based on Silver Nanowire Electrode Through Pre-Bias Conditioning Treatment

Lee, Yonghee; Suh, Minwon; Kim, Donghyuk; Lee, Dongchan; Chang, Hyein; Lee, Ho Seok; Kim, Yeon Won; et al, ADVANCED FUNCTIONAL MATERIALS, v.24, no.41, pp.6465 - 6472, 2014-11

28330
Improved optical performance of multi-layer MoS2 phototransistor with see-through metal electrode

Park, Junghak; Das, Dipjyoti; Ahn, Minho; Park, Sungho; Hur, Jihyun; Jeon, Sanghun, NANO CONVERGENCE, v.6, no.1, 2019-12

28331
Improved Optical Sintering Efficiency at the Contacts of Silver Nanowires Encapsulated by a Graphene Layer

Yang, Seung-Bok; Choi, HongKyw; Lee, Da Som; Choi, Choon-Gi; Choi, Sung-Yool; Kim, Il-Doo, SMALL, v.11, no.11, pp.1293 - 1300, 2015-03

28332
Improved Optical Transmittance of Boron Doped ZnO Thin Films by Low Pressure Chemical Vapor Deposition with Pulse Boron Doping

Lee, Chang-Soo; Yoon, Kyung-Hoon; Ahn, Byung-Tae, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, v.158, no.5, pp.482 - 486, 2011

28333
Improved Orthogonal Code Hoppong Multiplexing using Both Division and Hopping

박수원; 성단근; 권재균, 한국통신학회논문지, v.33, no.3, pp.277 - 285, 2008-03

28334
Improved OSNR monitoring technique based on polarisation-nulling method

Lee, JH; Chung, Yun Chur, ELECTRONICS LETTERS, v.37, no.15, pp.972 - 973, 2001-07

28335
Improved oxidation resistance of Ru/Si capping layer for extreme ultraviolet lithography reflector

Park, JeongYoung; Belau, L; Seo, H; Somorjai, GA, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, v.29, no.4, 2011-07

28336
Improved Oxygen Diffusion Barrier Properties of Ruthenium-Titanium Nitride Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition

Jeong, Seong-Jun; Kim, Doo-In; Kim, Sang Ouk; Han, Tae Hee; Kwon, Jung-Dae; Park, Jin-Seong; Kwon, Se-Hun, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, v.11, no.1, pp.671 - 674, 2011-01

28337
Improved paging scheme based on distribution density information of users in mobile communication systems

Choon-Woo KWON; Il HAN; Cho, Dong-Ho, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E86B, no.4, pp.1466 - 1471, 2003-04

28338
Improved Parallel Spatial Processing for Suppression of Coherent Jamming Signals

W.S.Youn; C.K.Un, KITE JOURNAL OF ELECTRONICS ENGINEERING, v.2, no.1, pp.104 - 108, 1991-06

28339
Improved performance analysis for maximal ratio combining in asynchronous CDMA channels

Choi, Wan; Andrews, Jeffrey G., IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS, v.6, no.9, pp.3297 - 3305, 2007-09

28340
Improved performance of direct methanol fuel cells by anodic treatment

Jeon, Min Ku; Won, Jung Yeon; Woo, Seong-Ihl, ELECTROCHEMICAL AND SOLID STATE LETTERS, v.10, no.1, pp.23 - 25, 2007

28341
Improved performance of tri-doped photocatalyst SrTiO3:Rh/Ta/F for H-2 evolution under visible light irradiation

Kang, Hyun Woo; Park, Seung-Bin, INTERNATIONAL JOURNAL OF HYDROGEN ENERGY, v.41, no.32, pp.13970 - 13978, 2016-08

28342
IMPROVED PERFORMANCE OF UPFLOW ANAEROBIC SLUDGE BLANKET(UASB) REACTORS BY OPERATING ALTERNATIVES

Shin, Hang-Sik; BYEONG CHEON PAIK, BIOTECHNOLOGY LETTERS, v.12, no.6, pp.469 - 474, 1990-06

28343
Improved performance-based seismic coefficient for gravity-type quay walls based on centrifuge test results

Lee, Moon-Gyo; Ha, Jeong-Gon; Cho, Hyung-Ik; Sun, Chang-Guk; Kim, Dong-Soo, ACTA GEOTECHNICA, v.16, no.4, pp.1187 - 1204, 2021-04

28344
Improved Phase Unwrapping of Shift Interferometer Using Precision XY-scanner

Park, Sung Lim; Gweon, Dae Gab; Moon, Kee S., International Conference on Optical Engineering for Sensing and Nanotechnology, 1999-06

28345
Improved Phase-Shift PWM Converter for Larger Sized PDP Slim Sustain Power Module

Lee, Il-Oun; Cho, Shin-Young; Moon, Gun-Woo, IEEE TRANSACTIONS ON POWER ELECTRONICS, v.28, no.2, pp.945 - 958, 2013-02

28346
Improved piezoelectric self-sensing actuation

Simmers, GE; Hodgkins, JR; Mascarenas, DD; Park, G; Sohn, Hoon, JOURNAL OF INTELLIGENT MATERIAL SYSTEMS AND STRUCTURES, v.15, no.12, pp.941 - 953, 2004-12

28347
Improved piezoresistive sensitivity and stability of CNT/cement mortar composites with low water-binder ratio

Kim, H. K.; Park, I. S.; Lee, Haeng-Ki, COMPOSITE STRUCTURES, v.116, pp.713 - 719, 2014-09

28348
Improved prediction of metabolic fluxes through genomic context analysis across organisms and stoichiometric analysis of carbon fluxes

Park, Jong-Myoung; Kim, Tae-Yong; Lee, Sang-Yup, JOURNAL OF BIOSCIENCE AND BIOENGINEERING, v.108, pp.173 - 173, 2009-11

28349
Improved Prediction of miRNA-Disease Associations Based on Matrix Completion with Network Regularization

Ha, Jihwan; Park, Chihyun; Park, Chanyoung; Park, Sanghyun, CELLS, v.9, no.4, 2020-04

28350
Improved Prediction of the Wideband Beam Pattern Shape of Antenna Array based on Infinitesimal Dipole Modeling

Kim, Young Dam; Yang, Sung-Jun; Myung, Noh-Hoon; Yu, Jong-Won, IEEE Antennas and Wireless Propagation Letters, v.17, no.12, pp.2309 - 2313, 2018-12

28351
Improved pressure-volume-temperature method for estimation of cryogenic liquid volume

Seo, Mansu; Jeong, Sangkwon; Jung, Young-suk; Kim, Jakyung; Park, Hana, CRYOGENICS, v.52, no.4-6, pp.290 - 295, 2012-04

28352
Improved production of a bioadhesive precursor protein by fed-batch cultivation of a recombinant Escherichia coli with a pLysS vector

Kim, Yeon Chul; Kwon, Sunhoon; Lee, Sang Yup; Chang, Ho Nam, Biotechnology Letters, Vol.20, No.8, pp.799-803, 1998-08

28353
Improved production of a bioadhesive precursor protein by fed-batch cultivation of a recombinant Escherichia coli with a pLysS vector

Kim, YC; Kwon, S; Lee, SangYup; Chang, Ho Nam, BIOTECHNOLOGY LETTERS, v.20, no.8, pp.799 - 803, 1998-08

28354
Improved production of heme using metabolically engineered Escherichia coli

Choi, Kyeong Rok; Yu, Hye Eun; Lee, Hoseong; Lee, Sang Yup, BIOTECHNOLOGY AND BIOENGINEERING, v.119, no.11, pp.3178 - 3193, 2022-11

28355
Improved protection against avian influenza H5N1 virus by a single vaccination with virus-like particles in skin using microneedles

Song, Jae-Min; Kim, Yeu-Chun; Barlow, Peter G.; Hossain, M. Jaber; Park, Kyoung-Mi; Donis, Ruben O.; Prausnitz, Mark R.; et al, ANTIVIRAL RESEARCH, v.88, no.2, pp.244 - 247, 2010-11

28356
Improved pseudocapacitive charge storage in highly ordered mesoporous TiO2/carbon nanocomposites as high-performance Li-ion hybrid supercapacitor anodes

Lee, Yujin; Kim, Seoa; Lee, Jeong Han; Roh, Kwang Chul; Lim, Eunho; Lee, Jinwoo, RSC ADVANCES, v.9, no.65, pp.37882 - 37888, 2019-11

28357
Improved pulse density modulation for high-frequency series resonant inverter with transformer-coupled load

Ahn, HW; Joo, HG; Youn, Myung Joong, INTERNATIONAL JOURNAL OF ELECTRONICS, v.84, no.1, pp.69 - 82, 1998-01

28358
Improved Pulsed Memory dc Plasma display with High Frequency Auxiliary Anode Pulses

Choi, Kyung Cheol, JOURNAL OF THE SOCIETY FOR INFORMATION DISPLAY, v.1, no.3, pp.353 - 358, 1993-10

28359
Improved quantum efficiency by overneutralization of ionomers used in polymer light-emitting diodes

Lee, TW; Park, OOk; Do, LM; Zyung, T, MOLECULAR CRYSTALS AND LIQUID CRYSTALS, v.349, no.1, pp.455 - 458, 2000-12

28360
Improved Rayleigh wave velocity measurement for nondestructive early-age concrete monitoring

Shin, SW; Yun, Chung Bang; Popovics, JS; Kim, Jae Hong, RESEARCH IN NONDESTRUCTIVE EVALUATION, v.18, no.1, pp.45 - 68, 2007-01

28361
Improved resistance to water poisoning of Pd/CeO2 monolithic catalysts by heat treatment for ozone decomposition

Ryu, Seung-Hee; Kim, Giyeong; Gupta, Suchitra; Bhattacharjee, Satadeep; Lee, Seung-Cheol; Lee, Hyunjoo; Choi, Joon-Hwan; et al, CHEMICAL ENGINEERING JOURNAL, v.485, 2024-04

28362
Improved resonance characteristics by thermal annealing of W/SiO2 multi-layers in film bulk acoustic wave resonator devices

Kim, Dong-Hyun; Yim, Mun-Hyuk; Chai, Dong-Kyu; Park, Jin-Seok; Yoon, Gi-Wan, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS , v.43, no.4A, pp.1545 - 1550, 2004-04

28363
Improved reversibility in lithium-oxygen battery: Understanding elementary reactions and surface charge engineering of metal alloy catalyst

Kim, Byung Gon; Kim, Hyung-Jin; Back, Seoin; Nam, Kwan Woo; Jung, Yousung; Han, Young-Kyu; Choi, Jang Wook, SCIENTIFIC REPORTS, v.4, 2014-02

28364
Improved Robustness against Magnetic Field in Spin-Orbit-Torque-Based Physical Unclonable Functions through Write-Back Operation

Koh, Daekyu; Kang, Jaimin; Kim, Taehwan; Lee, Jisung; Noh, Sujung; Lee, Hansaem; Kwon, JoonHyun; et al, ADVANCED ELECTRONIC MATERIALS, v.9, no.4, 2023-04

28365
Improved selectivity of oxide semiconductor type gas sensor using compensating element

Bae, JS; Yun, DH; Park, Chong-Ook; Hwang, JS, SENSORS AND ACTUATORS B-CHEMICAL, v.75, no.3, pp.160 - 165, 2001-05

28366
Improved Self-Curing Effect in a MOSFET with Gate Biasing

Lee, Geon-Beom; Jung, Jin-Woo; Kim, Choong-Ki; Bang, Tewook; Yoo, Min-Soo; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.42, no.12, pp.1731 - 1734, 2021-12

28367
Improved shelf-life stability of holographic photopolymer containing monomer stabilizer

Jeong, Yong-Cheol; Heo, Yong-Joon; Lee, Ji-Hye; Lee, Seung-Woo; Ahn, Do-Won; Park, Jung-Ki, OPTICAL MATERIALS, v.35, no.3, pp.547 - 552, 2013-01

28368
Improved SOI FinFETs Performance with Low-Temperature Deuterium Annealing

Ku, Ja-Yun; Yu, Ji-Man; Wang, Dong-Hyun; Jung, Dae-Han; Han, Joon-Kyu; Choi, Yang-Kyu; Park, Jun-Young, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.70, no.7, pp.3958 - 3962, 2023-07

28369
Improved solid oxide fuel cell anodes for the direct utilization of methane using Sn-doped Ni/YSZ catalysts

Kan, Hyuk; Hyun, Sang Hoon; Shul, Yong-Gun; Lee, Hyunjoo, CATALYSIS COMMUNICATIONS, v.11, no.3, pp.180 - 183, 2009-11

28370
Improved solid-state conversion and piezoelectric properties of 90Na(1/2)Bi(1/2)TiO(3)-5BaTiO(3)-5K(1/2)Na(1/2)NbO(3) single crystals

Ko, Seok Young; Park, Ji-Hoon; Kim, Ill-Won; Wong, Sung-Sik; Chung, Sung-Yoon; Kang, Suk-Joong L, JOURNAL OF THE EUROPEAN CERAMIC SOCIETY, v.37, no.1, pp.407 - 411, 2017-01

28371
Improved Sorting Architecture for K-Best MIMO Detection

Kong, Byeong Yong; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.64, no.9, pp.1042 - 1046, 2017-09

28372
Improved Spectral Envelope Coding Algorithm Using Adaptive Filtering for G.729.1

Cho, Keunseok; Jeong, Sangbae; Hahn, Min-Soo, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E97A, no.11, pp.2254 - 2257, 2014-11

28373
Improved stability of a phase change memory device using Ge-doped SbTe at varying ambient temperature

Wu, Zhe; Lee, Su-Youn; Park, Young-Wook; Ahn, Hyung-Woo; Jeong, Doo-Seok; Jeong, Jeung-hyun; No, Kwang-Soo; et al, APPLIED PHYSICS LETTERS, v.96, no.13, 2010-03

28374
Improved Stability of Atomic Layer Deposited ZnO Thin Film Transistor by Intercycle Oxidation

Oh, Himchan; Park, Sang-Hee Ko; Ryu, Min Ki; Hwang, Chi-Sun; Yang, Shinhyuk; Kwon, Oh Sang, ETRI JOURNAL, v.34, no.2, pp.280 - 283, 2012-04

28375
Improved Steganographic Method Preserving Pixel-Value Differencing Histogram with Modulus Function

Joo, Jeong-Chun; Lee, Hae-Yeoun; Lee, Heung-Kyu, EURASIP JOURNAL ON ADVANCES IN SIGNAL PROCESSING, 2010

28376
Improved Stopping Set Elimination by Parity-Check Matrix Extension of LDPC Codes

Kim, Saejoon; Heo, Jun; Park, Hyuncheol, IEEE COMMUNICATIONS LETTERS, v.15, no.5, pp.557 - 559, 2011-05

28377
Improved strength of a medium -Mn steel by V addition without sacrificing ductility

Park, Tak Min; Jeong, Mun Sik; Jung, Chanwon; Choi, Wonseok; Choi, Pyuck-Pa; Han, Jeongho, MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING, v.802, no.20, pp.140681, 2021-01

28378
Improved Successive-Cancellation Decoding of Polar Codes Based on Recursive Syndrome Decomposition

Choi, Jaejoon; Park, In-Cheol, IEEE COMMUNICATIONS LETTERS, v.21, no.11, pp.2344 - 2347, 2017-11

28379
Improved Susceptibility Weighted Imaging Method Using Multi-Echo Acquisition

Oh, Sung Suk; Oh, Se-Hong; Nam, Yoonho; Han, Dongyeob; Stafford, Randall B.; Hwang, Jinyoung; Kim, Dong-Hyun; et al, MAGNETIC RESONANCE IN MEDICINE, v.72, no.2, pp.452 - 458, 2014-08

28380
Improved Technique for Extraction of Effective Mobility by Considering Gate Bias-Dependent Inversion Charges in a Floating-Body Si/SiGe pMOSFET

Bae, Hagyoul; Bang, Tewook; Kim, Choong-Ki; Hur, Jae; Kim, Seyeob; Jeon, Chang-Hoon; Park, Jun-Young; et al, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, v.17, no.5, pp.3247 - 3250, 2017-05

rss_1.0 rss_2.0 atom_1.0