Browse "School of Electrical Engineering(전기및전자공학부)" by Author Han, Donghyeon

Showing results 1 to 57 of 57

1
7.7 LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.142 - 144, Institute of Electrical and Electronics Engineers Inc., 2019-02

2
A 0.22-0.89 mW Low-Power and Highly-Secure Always-On Face Recognition Processor With Adversarial Attack Prevention

Kim, Youngwoo; Han, Donghyeon; Kim, Changhyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.5, pp.846 - 850, 2020-05

3
A 0.22–0.89 mW Low-Power and Highly-Secure Always-on Face Recognition Processor with Adversarial Attack Prevention

Kim, Youngwoo; Yoo, Hoi-Jun; Han, Donghyeon; Kim, Changhyeon, 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Institute of Electrical and Electronics Engineers Inc., 2020-10-21

4
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.37 - 40, Institute of Electrical and Electronics Engineers Inc., 2022-06

5
A 1.02-μW STT-MRAM-Based DNN ECG arrhythmia monitoring SoC with leakage-based delay MAC unit

Lee, Kyoung-Rog; Kim, Jihoon; Kim, Changhyeon; Han, Donghyeon; Lee, Juhyoung; Lee, Jinsu; Jeong, Hongsik; et al, IEEE SOLID-STATE CIRCUITS LETTERS, v.3, pp.390 - 393, 2020-09

6
A 1.15 TOPS/W Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment

Park, Gwangtae; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.9, pp.1594 - 1598, 2020-09

7
A 1.32 TOPS/W Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C304 - C305, Institute of Electrical and Electronics Engineers Inc., 2019-06

8
A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices

Han, Donghyeon; LEE, Jinsu; Lee, Jinmook; Choi, Sungpill; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

9
A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array

Ha, Sangwoo; Kim, Sangjin; Han, Donghyeon; Um, Soyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2433 - 2437, 2022-05

10
A 36.2 dB High SNR and PVT/Leakage-robust eDRAM Computing-In-Memory Macro with Segmented BL and Reference Cell Array

Ha, Sangwoo; Yoo, Hoi-Jun; Kim, Sangjin; Han, Donghyeon; Um, Soyeon, 2022 IEEE International Symposium on Circuits and Systems, ISCAS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-05

11
A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices

Im, DongSeok; Yoo, Hoi-Jun; Kang, Sanghoon; Han, Donghyeon; Choi, Sungpill, IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

12
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2443 - 2447, 2022-05

13
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Yoo, Hoi-Jun; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon, 2022 International Symposium on Circuits and Systems, ISCAS 2022, 2022 International Symposium on Circuits and Systems, 2022-05

14
A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network

Kim, Soyeon; Kim, Sangjin; Kim, Sangyeob; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1675 - 1679, 2021-05

15
A DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.501, Institute of Electrical and Electronics Engineers Inc., 2022-06

16
A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 25th IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), IEEE, 2022-04

17
A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.1, pp.115 - 123, 2018-01

18
A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.66, no.5, pp.1794 - 1804, 2019-05

19
A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation

Im, Dongseok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE MICRO, v.43, no.3, pp.74 - 82, 2023-05

20
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

21
A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction

Im, Dongseok; Han, Donghyeon; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.2, pp.661 - 670, 2022-02

22
(A) high energy-efficiency adaptive fixed-point DNN training processor = 고에너지 효율의 적응형 고정 소수점 DNN 학습 프로세서link

Han, Donghyeon; Yoo, Hoi-Jun; et al, 한국과학기술원, 2023

23
(A) low-power deep neural network online learning processor for real-time object tracking application = 실시간 객체 추적을 위한 저전력 심층 신경망 온라인 학습 프로세서link

Han, Donghyeon; Yoo, Hoi-Jun; et al, 한국과학기술원, 2019

24
An 0.92 mJ/frame High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache

Li, Zhiyong; Kim, Sangjin; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, 43rd Annual IEEE Custom Integrated Circuits Conference, CICC 2022, Institute of Electrical and Electronics Engineers Inc., 2022-04

25
An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache

Li, Zhiyong; Kim, Sangjin; Im, DongSeok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.3, pp.614 - 623, 2023-03

26
An Efficient High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache

Li, Zhiyong; Yoo, Hoi-Jun; Kim, Sangjin; Im, DongSeok; Han, Donghyeon, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, pp.1 - 26, Institute of Electrical and Electronics Engineers Inc., 2022-08

27
An Energy-efficient Deep Neural Network Training Processor with Bit-slice-level Reconfigurability and Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL CHIPS), IEEE COMPUTER SOC, 2021-04

28
An Energy-Efficient GAN Accelerator with On-chip Training for Domain Specific Optimization

Kim, Soyeon; Yoo, Hoi-Jun; Kang, Sanghoon; Han, Donghyeon; Kim, Sangyeob; Kim, Sangjin, 16th IEEE Asian Solid-State Circuits Conference, A-SSCC 2020, Institute of Electrical and Electronics Engineers Inc., 2020-11-09

29
An Energy-Efficient GAN Accelerator With On-Chip Training for Domain-Specific Optimization

Kim, Soyeon; Kang, Sanghoon; Han, Donghyeon; Kim, Sangjin; Kim, Sangyeob; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.10, pp.2968 - 2980, 2021-10

30
An Energy-Efficient Sparse Deep-Neural-Network Learning Accelerator with Fine-Grained Mixed Precision of FP8–FP16

Lee,Jinsu; LEE, JUHYOUNG; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, IEEE Solid-State Circuits Letters, v.2, no.11, pp.232 - 235, 2019-11

31
An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations

Kang, Sanghoon; Park, Gwangtae; Kim, Sangjin; Kim, Soyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.11, no.4, pp.634 - 648, 2021-12

32
Apparatus and method for training deep neural network using error propagation, weight gradient updating, and feed-forward processing

Yoo, Hoi-Jun; Han, Donghyeon

33
C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture

Kim, Sangyeob; Kim, Soyeon; Hong, Seongyon; Kim, Sangjin; Han, Donghyeon; Choi, Jiwon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.157 - 172, 2024-01

34
CNNP-v2: A Memory-Centric Architecture for Low-Power CNN Processor on Domain-Specific Mobile Devices

Choi, Sungpill; Bong, Kyeongryeol; Han, Donghyeon; You, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.9, no.4, pp.598 - 611, 2019-12

35
CNNP-v2:An Energy Efficient Memory-Centric Convolutional Neural Network Processor Architecture

Choi, Sungpill; Bong, Kyeongryeol; Han, Donghyeon; Yoo, Hoi-Jun, 1st IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2019, pp.38 - 41, Institute of Electrical and Electronics Engineers Inc., 2019-02

36
DF-LNPU: A Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning

Han, Donghyeon; Lee, Jinsu; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.5, pp.1630 - 1640, 2021-05

37
Direct Feedback Alignment based Convolutional Neural Network Training for Low-power Online Learning Processor

Han, Donghyeon; Yoo, Hoi-Jun, IEEE/CVF International Conference on Computer Vision (ICCV), pp.2445 - 2452, IEEE, 2019-10

38
DSPU: A 281.6mW Real-Time Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip

Im, DongSeok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-08

39
DSPU: A 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning-Based Dense RGB-D Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms

Im, DongSeok; Park, Gwangtae; LI, ZHIYONG; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.510 - 512, Institute of Electrical and Electronics Engineers Inc., 2022-02

40
DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.1, pp.177 - 188, 2023-01

41
DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation

Im, Dongseok; Han, Donghyeon; Choi, Sungpill; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.10, pp.3471 - 3483, 2020-10

42
DT-CNN: Dilated and transposed convolution neural network accelerator for real-time image segmentation on mobile devices

Im, Dongseok; Han, Donghyeon; Choi, Sungpill; Kang, Sanghoon; Yoo, Hoi-Jun, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019, Institute of Electrical and Electronics Engineers Inc., 2019-05

43
DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

Kim, Sangjin; Li, Zhiyong; Um, Soyeon; Jo, Wooyoung; Ha, Sangwoo; Lee, Juhyoung; Kim, Sangyeob; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.102 - 115, 2024-01

44
Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems

Lee,Juhyoung; Kim,Changhyeon; Han, Donghyeon; Kim, Sangyeob; Kim, Sangjin; Yoo, Hoijun, 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

45
Energy-Efficient DNN Training Processors on Micro-AI Systems

Han, Donghyeon; Kang, Sanghoon; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Open Journal of the Solid-State Circuits Society, v.2, pp.259 - 275, 2022-11

46
FlashMAC: An Energy-Efficient Analog-Digital Hybrid MAC with Variable Latency-Aware Scheduling

Gweon, Surin; Kang, Sanghoon; Han, Donghyeon; Lee, Kyoung-Rog; Kim, Kwantae; Yoo, Hoi-Jun, 2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE, 2021-11-07

47
GANPU: A 135TFLOPS/W Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Yoo, Hoi-Jun; Han, Donghyeon; LEE, JUHYOUNG; lM,DONGSEOK; Kim, Sangyeob; Kim, Soyeon, IEEE International Solid-State Circuits Conference, ISSCC 2020, pp.140 - 142, Institute of Electrical and Electronics Engineers Inc., 2020-02-17

48
GANPU: A Versatile Many-Core Processor for Training GAN on Mobile Devices with Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Yoo, Hoi-Jun; Han, Donghyeon; LEE, JUHYOUNG; Im, DongSeok; Kim, Sangyeob; Kim, Soyeon; et al, Hot Chips: A Symposium on High Performance Chips, IEEE Technical Committee on Microprocessors and Microcomputers., 2020-08-16

49
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Han, Donghyeon; Lee, Juhyoung; Im, Dongseok; Kim, Sangyeob; Kim, Soyeon; Ryu, Junha; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2845 - 2857, 2021-09

50
HNPU-V2: A 46.6 FPS DNN Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-08

51
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

52
LNPU: An Energy-Efficient Deep-Neural-Network Training Processor with Fine-Grained Mixed Precision

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, Hot Chips 2019: A Symposium on High-Performance Chips, HOT CHIPS, 2019-08

53
MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing

Han, Donghyeon; Ryu, Junha; Kim, Sangyeob; Kim, Sangjin; Park, Jongjun; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.65 - 78, 2024-01

54
OmniDRL: A 29.3 TFLOPS/W Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer

Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; Jo, Wooyoung; Han, Donghyeon; Lee, Jinsu; Yoo, Hoi-Jun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

55
OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer

Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; Jo, Wooyoung; Kim, Ji-Hoon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.4, pp.999 - 1012, 2022-04

56
The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices

Lee, Jinsu; Kang, Sanghoon; Lee, Jinmook; Shin, Dongjoo; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.10, pp.3458 - 3470, 2020-10

57
TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Han, Donghyeon; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1494 - 1506, 2022-04

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0