Browse "School of Electrical Engineering(전기및전자공학부)" by Type Article

Showing results 7861 to 7920 of 14272

7861
Low-complexity frequency-offset insensitive detection for orthogonal modulation

Park, S; Park, D; Park, H; Lee, Kwyro, ELECTRONICS LETTERS, v.41, pp.1226 - 1228, 2005-10

7862
Low-Complexity Iterative Channel Estimation for Turbo Receivers

Yoon, Daejung; Moon, Jaekyun, IEEE TRANSACTIONS ON COMMUNICATIONS, v.60, no.5, pp.1182 - 1187, 2012-05

7863
Low-Complexity Iterative Equalisation and Decoding for Wireless Optical Communications

Kim, Namshik; Park, Hyuncheol, IET COMMUNICATIONS, v.2, no.1, pp.61 - 65, 2008-01

7864
Low-complexity iterative QRD-M detection algorithm for V-BLAST systems

Cha, J.; Ha, Jeongseok; Kang, Joonhyuk, ELECTRONICS LETTERS, v.43, no.24, pp.1374 - 1376, 2007-11

7865
Low-Complexity Low-Latency Architecture for Matching of Data Encoded With Hard Systematic Error-Correcting Codes

Kong, Byeong Yong; Jo, Jihyuck; Jeong, Hyewon; Hwang, Mina; Cha, Soyoung; Kim, Bongjin; Park, In-Cheol, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.22, no.7, pp.1648 - 1652, 2014-07

7866
Low-complexity maximum-likelihood decoder for four-transmit-antenna quasi-orthogonal space-time block code

Le, MT; Pham, VS; Mai, L; Yoon, Giwan, IEEE TRANSACTIONS ON COMMUNICATIONS, v.53, pp.1817 - 1821, 2005-11

7867
Low-Complexity Maximum-Likelihood Decoder for VBLAST-STBC Scheme using Non-square OSTBC Code Rate 3/4

Van-Su Pham; Minh-Tuan Lei; Linh Mai; 윤기완, INTERNATIONAL JOURNAL OF MARITIME INFORMATION AND COMMUNICATION SCIENCES, v.4, no.2, pp.75 - 78, 2006-06

7868
Low-Complexity Parallel Chien Search Structure Using Two-Dimensional Optimization

Lee, Youngjoo; Yoo, Hoyoung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.58, no.8, pp.522 - 526, 2011-08

7869
Low-Complexity Parallel QPP Interleaver Based on Permutation Patterns

Kim, Bongjin; Yoo, Injae; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.60, no.3, pp.162 - 166, 2013-03

7870
Low-Complexity Rate Selection of HARQ With Chase Combining in Rayleigh Block-Fading Channels

Kim, Seong-Hwan; Lee, Seung-Joon; Sung, Dan-Keun, IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, v.62, no.6, pp.2818 - 2824, 2013-07

7871
Low-complexity time domain equalization of OFDM in highly time-selective channels

Chang, K; Han, Youngnam, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E89A, no.6, pp.1710 - 1712, 2006-06

7872
Low-Complexity Tone Reservation for PAPR Reduction in OFDM Communication Systems

Park, Kangwoo; Park, In-Cheol, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.20, no.10, pp.1919 - 1923, 2012-10

7873
Low-Complexity Tree Architecture for Finding the First Two Minima

Lee, Youngjoo; Kim, Bongjin; Jung, Jaehwan; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.62, no.1, pp.61 - 64, 2015-01

7874
LOW-COMPLEXITY VITERBI DETECTION FOR A FAMILY OF PARTIAL RESPONSE SYSTEMS

SHAFIEE, H; Moon, Jaekyun, IEEE TRANSACTIONS ON MAGNETICS, v.28, no.5, pp.2892 - 2894, 1992-09

7875
Low-Cost and Highly Heat Controllable Capacitorless PiFET (Partially Insulated FET) 1T DRAM for Embedded Memory

Bae, Dong-Il; Kim, Sung-Ho; Choi, Yang-Kyu, IEEE TRANSACTIONS ON NANOTECHNOLOGY, v.8, no.1, pp.100 - 105, 2009-01

7876
LOW-COST, COMPACT MILLIMETER-WAVE ANTENNA-IN-PACKAGE FOR SHORT-RANGE WIRELESS COMMUNICATIONS

Byeon, Chul Woo; Park, Chul Soon, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.59, no.2, pp.329 - 333, 2017-02

7877
Low-crosstalk 10-Gb/s flip-chip array module for parallel optical interconnects

Park, SH; Park, SM; Park, HH; Park, Chul Soon, IEEE PHOTONICS TECHNOLOGY LETTERS, v.17, pp.1516 - 1518, 2005-07

7878
Low-crosstalk and high-efficiency optical interconnection using 45 degrees-ended connection rods

Rho, BS; Cho, MH; Cho, HS; Kang, S; Park, HyoHoon; Ha, SW; Rhee, BH, ELECTRONICS LETTERS, v.40, no.12, pp.730 - 732, 2004-06

7879
Low-density parity-check codes for volume holographic memory systems

Pishro-Nik, H; Rahnavard, N; Ha, Jeongseok; Fekri, F; Adibi, A, APPLIED OPTICS, v.42, no.5, pp.861 - 870, 2003-02

7880
Low-density parity-check codes over Gaussian channels with erasures

Ha, Jeongseok; McLaughlin, SW, IEEE TRANSACTIONS ON INFORMATION THEORY, v.49, no.7, pp.1801 - 1809, 2003-07

7881
Low-Density Parity-Check Codes with Rate-Compatibility

하정석, TELECOMMUNICATIONS REVIEW, v.16, no.5, pp.823 - 836, 2006-10

7882
Low-frequency noise characteristics in p-channel FinFETs

Lee, JS; Choi, Yang-Kyu; Ha, D; King, TJ; Bokor, J, IEEE ELECTRON DEVICE LETTERS, v.23, no.12, pp.722 - 724, 2002-12

7883
Low-Frequency Noise Characteristics in SONOS Flash Memory With Vertically Stacked Nanowire FETs

Bang, Te-Wook; Lee, Byung-Hyun; Kim, Choong-Ki; Ahn, Dae-Chul; Jeon, Seung-Bae; Kang, Min-Ho; Oh, Jae-Sub; et al, IEEE ELECTRON DEVICE LETTERS, v.38, no.1, pp.40 - 43, 2017-01

7884
Low-frequency noise characteristics of ultrathin body p-MOSFETs with molybdenum gate

Lee, JS; Ha, DW; Choi, Yang-Kyu; King, TJ; Bokor, J, IEEE ELECTRON DEVICE LETTERS, v.24, no.1, pp.31 - 33, 2003-01

7885
Low-Frequency Noise Characteristics Under the OFF-State Stress

Lee, Geon-Beom; Kim, Choong-Ki; Yoo, Min-Soo; Choi, Yang-Kyu, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.67, no.10, pp.4366 - 4371, 2020-10

7886
LOW-FREQUENCY NOISE DUE TO CARRIER RECOMBINATION IN A P-N-JUNCTION

Lee, Kwyro; AMBERIADIS, K, SOLID-STATE ELECTRONICS, v.25, no.10, pp.995 - 998, 1982

7887
Low-Frequency Noise Performance of a Bilayer InZnO-InGaZnO Thin-Film Transistor for Analog Device Applications

Jeon, Sanghun; Kim, Sun Il; Park, Sungho; Song, Ihun; Park, Jaechul; Kim, Sangwook; Kim, Changjung, IEEE ELECTRON DEVICE LETTERS, v.31, no.10, pp.1128 - 1130, 2010-10

7888
Low-jitter multi-phase digital DLL with closest edge selection scheme for DDR memory interface

Oh, K. -I.; Kim, Lee-Sup; Park, K. -I.; Jun, Y. -H.; Kim, K., ELECTRONICS LETTERS, v.44, no.19, pp.1121 - 1122, 2008-09

7889
Low-jitter multi-phase digital DLL with closest edge selection scheme for DDR memory interface

Oh, Kwang-Il; Kim, Lee-Sup; Park, Kwang-Il; Jun, Young-Hyun; Kim, Kinam, IEE Electronics Letters, Vol. 44, No. 19, 2008-09

7890
Low-jitter phase-locked loop based on pseudo-differential delay elements

Park, Sin Chong, IEE ELECTRONICS LETTERS, v.37, no.11, pp.669 - 670, 2001-05

7891
Low-Latency Low-Cost Architecture for Square and Cube Roots

Jo, Jihyuck; Park, In-Cheol, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E100.A, no.9, pp.1951 - 1955, 2017-09

7892
Low-Leakage Fiber-Based Field-Effect Transistors with an Al2O3-MgO Nanolaminate as Gate Insulator

Park, Jeong Woo; Kwon, Seonil; Kwon, Jeong Hyun; Kim, Chan Young; Choi, Kyung Cheol, ACS APPLIED ELECTRONIC MATERIALS, v.1, no.8, pp.1400 - 1407, 2019-08

7893
Low-Loss and High-Confinement Photonic Platform Based on Germanium-on-Insulator at Mid-Infrared Range for Optical Sensing

Lim, Jinha; Shim, Joonsup; Kim, Inki; Kim, Seong Kwang; Lim, Hyeongrak; Ahn, Seung-Yeop; Park, Juhyuk; et al, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.41, no.9, pp.2824 - 2833, 2023-05

7894
Low-Loss and High-Frequency Interconnection Technology on Membrane Supported by Porous Silicon Post

ju-hyun ko; choong-mo nam; in-ho jeong; Kwon, Young Se, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1, v.42, no.4B, pp.2478 - 2482, 2003-04

7895
Low-Loss Anisotropic Image Polaritons in van der Waals Crystal alpha-MoO3

메나브데세르게이; Jahng, Junghoon; Boroviks, Sergejs; Ahn, Jongtae; Heiden, Jacob T.; Hwang, Do Kyung; Lee, Eun Sung; et al, ADVANCED OPTICAL MATERIALS, v.10, no.21, 2022-11

7896
Low-Loss Compact Millimeter-Wave Power Divider/Combiner for Phased Array Systems

Byeon, Chul Woo; Park, Chul Soon, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.29, no.5, pp.312 - 314, 2019-05

7897
Low-loss quasi-parallel resonant DC link inverter with advanced PWM capability

Jung, YC; Cho, Gyu-Hyeong, INTERNATIONAL JOURNAL OF ELECTRONICS, v.81, no.2, pp.219 - 234, 1996-08

7898
Low-noise AlGaAs/InGaAs/GaAs pseudomorphic high electron mobility transistor recessed by electron cyclotron resonance plasma etching

Lee, JH; Choi, HT; Lee, CW; Yoon, HS; Park, BS; Park, Chul Soon, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.34, no.2, pp.150 - 153, 1999-02

7899
Low-Noise and Wide-Dynamic-Range ROIC With a Self-Selected Capacitor for SWIR Focal Plane Arrays

Kim, Yeong Seon; Woo, Doo Hyung; Jo, Young Min; Kang, Sang Gu; Lee, Hee Chul, IEEE SENSORS JOURNAL, v.17, no.1, pp.179 - 184, 2017-01

7900
Low-noise high-efficiency double-phase hologram by multiplying a weight factor

Kim, YooKwang; Lee, Jin Su; Won, Yong Hyub, OPTICS LETTERS, v.44, no.15, pp.3649 - 3652, 2019-08

7901
Low-noise Reset Technique of an Asynchronous Charge-pulse-detecting Pixel for Single-photon X-ray Imaging

Kim, Hyun-Sik; Han, Kwan-Young, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.68, no.3, pp.456 - 461, 2016-02

7902
Low-Overhead Network-on-Chip Support for Location-Oblivious Task Placement

Kim, Gwangsun; Lee, Michael Mihn-Jong; Kim, John Dongjun; Lee, Jae W.; Abts, Dennis; Marty, Michael, IEEE TRANSACTIONS ON COMPUTERS, v.63, no.6, pp.1486 - 1499, 2014-06

7903
Low-Phase-Noise 20-GHz Phase-Locked Loop Using Harmonic-Tuned VCO Assisting With g(m) -Boosting Technique

Lee, Hee Sung; Jang, Tae Hwan; Kim, Joon Hyung; Park, Chul Soon, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.31, no.10, pp.1629 - 1633, 2023-10

7904
Low-power 3D graphics processors for mobile terminals

Sohn, JH; Park, YH; Yoon, CW; Woo, R; Park, SJ; Yoo, Hoi-Jun, IEEE COMMUNICATIONS MAGAZINE, v.43, pp.90 - 99, 2005-12

7905
Low-power 42 dB-linear single-stage digitally-controlled variable gain amplifier

Nguyen, H. -H.; Duong, Q. -H.; Le, H. -B.; Lee, J. -S.; Lee, Sang-Gug, ELECTRONICS LETTERS, v.44, no.13, pp.780 - 15, 2008-06

7906
Low-power and area-efficient FIR filter implementation suitable for multiple taps

Kim, KS; Lee, Kwyro, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.11, pp.150 - 153, 2003-02

7907
Low-Power and High-Accurate Synchronization for IEEE 802.16d Systems

Kim, Tae-Hwan; Park, In-Cheol, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.16, no.12, pp.1620 - 1630, 2008-12

7908
Low-power and high-performance equality comparator using pseudo-NMOS NAND gates

Kim, CY; Kim, Lee-Sup, ELECTRONICS LETTERS, v.40, pp.1100 - 1101, 2004-09

7909
Low-power and low NF V-band down-converter in 0.13 mu m CMOS

Jung, D. Y.; Lee, Jae-Jin; Park, Chul-Soon, ELECTRONICS LETTERS, v.45, no.10, pp.509 - 510, 2009-05

7910
Low-Power Bus Architecture Composition for AMBA AXI

Na, Sangkwon; Yang, Sung; Kyung, Chong-Min, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.9, pp.75 - 79, 2009-06

7911
Low-power charge-sharing ROM using dummy bit lines

Yang, BD; Kim, Lee-Sup, ELECTRONICS LETTERS, v.39, no.14, pp.1041 - 1042, 2003-07

7912
Low-power CMOS polar modulator for multiband and multimode RF transmitter

Paek, Ji-Seon; Lee, H. -Y.; Hong, Song-Cheol, ELECTRONICS LETTERS, v.46, no.14, pp.1032 - 1033, 2010-07

7913
Low-Power Convolutional Neural Network Processor for a Face-Recognition System

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Yoo, Hoi-Jun, IEEE MICRO, v.37, no.6, pp.30 - 38, 2017-11

7914
Low-Power Decibel-Linear Programmable-Gain Amplifier With Complementary Current-Switching Technique

Park, Geon-Ho; Kim, Joon Hyung; Park, Chul Soon, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.70, no.5, pp.1846 - 1855, 2023-05

7915
Low-power direct conversion transceiver for 915 MHz band IEEE 802.15.4b standard based on 0. 18 mu m CMOS technology

Nguyen, TK; Le, VH; Duong, QH; Han, SK; Lee, Sang-Gug; Seong, NS; Kim, NS; et al, ETRI JOURNAL, v.30, pp.33 - 46, 2008-02

7916
Low-power dynamic termination scheme using NMOS diode clamping

Shin, DH; Lee, YM; Kim, KH; Lee, Kwyro, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.34, no.8, pp.1171 - 1175, 1999-08

7917
LOW-POWER EXCITON-BASED HETEROJUNCTION BIPOLAR-TRANSISTORS FOR THRESHOLDING LOGIC APPLICATIONS

GOSWAMI, S; Hong, Songcheol; BISWAS, D; BHATTACHARYA, PK; SINGH, J; LI, WQ, IEEE JOURNAL OF QUANTUM ELECTRONICS, v.27, no.3, pp.760 - 768, 1991-03

7918
Low-power high-slew-rate CMOS buffer amplifier for flat panel display drivers

Kim, SK; Son, YS; Cho, Gyu-Hyeong, ELECTRONICS LETTERS, v.42, pp.214 - 216, 2006-02

7919
Low-power high-speed performance of current-mode logic D flip-flop topology using negative-differential-resistance devices

Kim, T.; Jeong, Yong-Sik; Yang, Kyoung-Hoon, IET CIRCUITS DEVICES & SYSTEMS, v.2, no.2, pp.281 - 287, 2008-04

7920
Low-power hybrid turbo decoding based on reverse calculation

Choi, HM; Kim, JH; Park, In-Cheol, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E89A, no.3, pp.782 - 789, 2006-03

Discover

Type

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0