Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 781 to 840 of 22776

781
A 5.6mV Inter-Channel DVO 10b Column-Driver IC with Mismatch-Free Switched-Capacitor Interpolation for Mobile Active-Matrix LCDs

Kim, Hyun-Sik; Yang, Jun-Hyeok; Park, Sang-Hui; Ryu, Seung-Tak; Cho, Gyu-Hyeong, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013, pp.392 - 393, IEEE, 2013-02-20

782
A 5.6W-Power 96.6%-Efficiency Boost-Oriented SIDO Step-Up/Down DC-DC Converter Embedding Buck Conversion with an Energy-Balancing Capacitor

Gang, Gyeong-Gu; Lee, Ji-Hun; Shin, Se-Un; Cho, Gyu-Hyeong; Kim, Hyun-Sik, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), pp.180 - 181, IEEE, 2022-06-12

783
A 5.7μW/channel folded-current-mirror-based reconfigurable multimodal neural recording IC with improved hardware availability

Lee,Taeju; Kim, Mikyung; Lee, Hyunjoo Jenny; Je, Minkyu, 53rd IEEE International Symposium on Circuits and Systems, ISCAS 2021, Institute of Electrical and Electronics Engineers Inc., 2021-05

784
A 5.8 GHz SiGe HBT Direct-conversion I/Q-channel sub-harmonic mixer for low power and simplified receiver architecture

Choi, B.G.; Park, Chul Soon, 2005 IEEE MTT-S International Microwave Symposium, no.0, pp.177 - 180, 2005 MTT-S IMS, 2005-06-12

785
A 5.8GHz SiGe BiCOMS OFDM receiver front-end using bonding wire inductance

홍성철; 민봉기; 탁금영; 박성수; 강진영, 제 10회 한국반도체 학술대회, pp.79 - 80, 2003

786
A 5.9 GHz LC-based digitally controlled oscillator with high frequency resolution using novel varactor pairs

Yoo, S. S.; Choi, Y. C.; Song, H. J.; Yoo, Hyung Joun, 2009 IEEE International Symposium on Radio-Frequency Integration Technology, RFIT 2009, pp.195 - 198, IEEE, 2009-01-09

787
A 5.9 GHz LC-based digitally controlled oscillator with high frequency resolution using novel varactor pairs

Yoo, Sang-Sun; Choi, Yong-Chang; Song, Hong-Joo; Yoo, Hyung-Joun, 2009 IEEE International Symposium on Radio-Frequency Integration Technology, RFIT 2009, pp.195 - 198, IEEE, 2009-12-10

788
A 50-300-MHz low power and high linear active RF tracking filter for digital TV tuner ICs

Sun, Y.; Jeong, C.J.; Lee, I.Y.; Lee, J.S.; Lee, Sang-Gug, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, CICC 2010, 2010-09-19

789
A 50.7dB-DR Finger-Resistance Extractable Multi-Touch Sensor IC Achieving Finger-Classification Accuracy of 97.7% on 6.7-inch Capacitive Touch Screen Panel

Song, Tae-Gyun; Kim, Dong-Kyu; Cho, Jeong-Hyun; Lee, Ji-Hun; Kim, Hyun-Sik, 2020 IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, IEEE, 2020-06-16

790
A 500MHz DLL with second order duty cycle corrector for low jitter

Kim, B.-G.; Oh, K.-I.; Kim, Lee-Sup; Lee, D.-W., IEEE 2005 Custom Integrated Circuits Conference, pp.318 - 321, IEEE, 2005-09-18

791
A 502GOPS and 0.984mW Dual-Mode ADAS SoC with RNN-FIS Engine for Intention Prediction in Automotive Black-Box System

Yoo, Hoi Jun; Lee, Kyuho Jason; Bong, Kyeongryeol; Kim, Changhyeon; Jang, Jaeeun; Kim, Hyunki; Lee, Jihee; et al, IEEE International Solid-State Circuits, IEEE, 2016-02

792
A 50Gb/s PAM-4 Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop

Song, Ha-II; Choi, Hanho; Yoo, Jun Young; Won, Hyo-Sup; Lee, Cheong Min; Jin, Huxian; Kim, Tai Young; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.126 - 128, Institute of Electrical and Electronics Engineers Inc., 2022-02

793
A 50mbps double-binary turbo decoder for wiMAX based on bit-level extrinsic information exchange

Kim, J.-H.; Park, In-Cheol, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.305 - 308, IEEE, 2008-11-03

794
A 50Mvertices/s graphics processor with fixed-point programmable vertex shader for mobile applications

Sohn, Ju-Ho; Woo, Jeong-Ho; Lee, Min-Wuk; Kim, Hye-Jung; Woo, Ramchan; Yoo, Hoi-Jun, 2005 IEEE International Solid-State Circuits Conference, ISSCC, pp.192 - 193, IEEE, 2005-02-06

795
A 51 mW 1.6GHz on-chip network for low-power heterogeneous SoC platform

Lee, K.; Lee, S.-J.; Kim, S.-E.; Choi, H.-M.; Kim, D.; Kim, S.; Lee, M.-W.; et al, Digest of Technical Papers - IEEE International Solid-State Circuits Conference: Visuals Supplement, pp.112, IEEE, 2003-02-15

796
A 52.4mW 3D graphics processor with 141Mvertices/s vertex shader and 3 power domains of dynamic voltage and frequency scaling

Nam, B.-G.; Lee, J.; Kim, K.; Lee, S.J.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.278 - 279, 2007-02-11

797
A 520 pJ/pulse IR-UWB radar for short range object detection

Shim, Y.; Yuwono, S.; Kim, S.-J.; Kim, J.-M.; Han, S.-K.; Lee, Sang-Gug; Ha, D.S., 2011 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2011, IEEE, 2011-06-05

798
A 53μW super-regenerative receiver for 2.4GHz wake-up application

Yu, X.; Lee, J.-S.; Shu, C.; Lee, Sang-Gug, 2008 Asia Pacific Microwave Conference, APMC 2008, 2008-12-16

799
A 54-μW fast-settling arterial pulse wave sensor for wrist watch type system

Kim, Kwantae; Kim, Minseo; Cho, Hyunwoo; Lee, Kwonjoon; Ryu, Seung-Tak; Yoo, Hoi-Jun, 2016 IEEE International Symposium on Circuits and Systems, ISCAS 2016, pp.1082 - 1085, Institute of Electrical and Electronics Engineers Inc., 2016-05

800
A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices

Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), Institute of Electrical and Electronics Engineers Inc., 2020-10-21

801
A 54GOPS 51.8mW analog-digital mixed mode Neural Perception Engine for fast object detection

Kim, M.; Kim, J.-Y.; Lee, S.; Oh, J.; Yoo, Hoi-Jun, 2009 IEEE Custom Integrated Circuits Conference, CICC '09, pp.649 - 652, 2009-09-13

802
A 550μW 10b 40MS/s SAR ADC with multistep addition-only digital error correction

Cho, S.-H.; Lee, C.-K.; Kwon, J.-K.; Ryu, Seung-Tak, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, pp.561 - 564, IEEE, 2010-09-19

803
A 55dB SNR with 240Hz Frame Scan Rate Mutual Capacitor 30×24 Touch-Screen Panel Read-Out IC Using Code-Division Multiple Sensing Technique

Shin, Hyungcheol; Ko, Seunghoon; Jang, Hongjae; Yun, Ilhyun; Lee, Kwyro, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013, pp.388 - 389, IEEE, 2013-02-20

804
A 56fJ/Conversion-Step 178dB-FoMS Third-Order Hybrid CT-DT Δ∑ Capacitance-to-Digital Converter

Jung, Yoontae; Koo, Jimin; Oh, Sein; Park, Seunga; Suh, Ji-Hoon; Cho, Donghee; Je, Minkyu, 2023 IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2023-04

805
A 57mW embedded mixed-mode neuro-fuzzy accelerator for intelligent multi-core processor

Oh, J.; Park, J.; Kim, G.; Lee, S.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.130 - 131, IEEE, 2011-02-20

806
A 590MDE/s Semi-Global Matching Processor with Lossless Data Compression

Bong, Kyeongryeol; Lee, Kyuho Jason; Yoo, Hoi-Jun, 30th IEEE International System-on-Chip Conference (SOCC), pp.18 - 22, IEEE International System-on-Chip Conference 2017, 2017-09

807
A 5GHz-95dBc-Reference-Spur 9.5mW Digital Fractional-N PLL Using Reference-Multiplied Time-to-Digital Converter and Reference-Spur Cancellation in 65nm CMOS

Kim, Hyo Jun; Sang, Jinwoo; Kim, Hyunik; Jo, Youngwoo; Kim,Taeik; Park, Hojin; Cho, Seong-Hwan, 2015 IEEE International Solid-State Circuits Conference, IEEE, 2015-02-24

808
A 5mW batteryless start-up boost charger for wireless power transfer

Koh, Seok Tae; Shin, Se-Un; Yang, Yu-Jin; Choi, Minseong; Jung, Seungchul; Cho, Gyu-Hyeong, 50th IEEE International Symposium on Circuits and Systems, ISCAS 2017, Institute of Electrical and Electronics Engineers Inc., 2017-05

809
A 5V Dynamic Class-C Paralleled Single-Stage Amplifier with Near-Zero Dead-Zone Control and Current-Redistributive Rail-to-Rail Gm-Boosting Technique

Koh, Seok Tae; LEE, JIHUN; Kang, Gyeong-Gu; HAN, HYUNKI; Kim, Hyun-Sik, 2021 IEEE International Solid- State Circuits Conference, ISSCC 2021, pp.86 - 88, Institute of Electrical and Electronics Engineers Inc., 2021-02-13

810
A 6 bit 2 GS/s flash-assisted time-interleaved (FATI) SAR ADC with background offset calibration

Sung, BRS; Lee, CK; Kim, W; Kim, JI; Hong, HK; Oh, GG; Lee, CH; et al, 2013 IEEE Asian Solid-State Circuits Conference, pp.281 - 284, IEEE, 2013-11-13

811
A 6-20 GHz compact multi-bit digital attenuator using InP/InGaAs PIN diodes

Eom, H.; Yang, Kyounghoon, 2008 International Conference on Indium Phosphide and Related Materials, IPRM 2008, 123, 2008-05-25

812
A 6-30 GHz compact 3-bit digital attenuator MMIC using InP/InGaAs PEN diodes

Eom, H.; Han, S.; Yang, Kyounghoon, 2008 Global Symposium on Millimeter Waves, GSMM 2008, pp.101 - 103, 2008-04-21

813
A 6-b 1-GS/s Adaptive Input Dynamic Range ADC Using Double Reference-Level for Radar System

Lee, Hye-In; Won, You-Sun; Kim, Chung-Hwan; Lee, Sang-Gug, 2015 International Conference on Electronics, Information and Communication, Institute of Electronics and Information Engineers, 2015-01-29

814
A 6-bit 10-GS/s 63-mW 4x TI Time-Domain Interpolating Flash ADC in 65-nm CMOS

Oh, DR; Kim, JI; Seo, MJ; Kim, JG; Ryu, Seung-Tak, European Solid-State Circuits Conference, IEEE, 2015-09-17

815
A 6-bit 4-GS/s DAC Design for Wideband Dynamic Linearity

류승탁; 김시내; 이창교; 조상현, 한국반도체학술대회, KCS 2010, 2010

816
A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processor

Kim, H.; Kim, Y.; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.3310 - 3313, IEEE, 2008-05-18

817
A 6.4Gbps On-chip Eye Opening Monitor Circuit for Signal Integrity Analysis of High Speed Channel

Kim, Joungho; Shin, Mincheol; Shim, Jongjoo; Kim, Jaemin; Pak, Jun So; Hwang, Chulsoon; Yoon, Changwook; et al, Presented at Proceeding of 2008 IEEE EMC Symposium, pp.1 - 7, 2008-08

818
A 6.5GHz CMOS FSK modulator for wireless sensor applications

Cho, SeongHwan, IEEE, 2002-06-01

819
A 60 GHz Gbps Low Power CMOS Radio System integrated on LTCC AiP for Mobile Applications

Park, Chul Soon, 2012 Triangle Symposium on Advanced ICT(TriSAI) Sep. 2012, 2012-09-18

820
A 60 GHz InGaP/GaAs HBT push-push MMIC VCO

Kim, J.-G.; Baek, D.-H.; Jeon, S.-H.; Park, J.-W.; Hong, Songcheol, 2003 IEEE MTT-S International Microwave Symposium Digest, v.2, pp.885 - 888, 2003-06-08

821
A 60 GHz Low Phase Imbalance Variable Gain Amplifier

박철순, 제19회 반도체학술대회, 2012-02

822
A 60 GHz LTCC Antenna in Package with Low Power CMOS Radio

Kim, Hong Yi; Byeon, Chul Woo; Lee, Jae Jin; Cho, Seong Jun; Song, In Sang; Lee, Chae Jun; Lee, Joong Ho; et al, 2013 Asia Pacific Microwave Conference, Korean Institute fo Electromagnetic Engineering and Science(KIEES), 2013-11

823
A 60 GHz Multi-Gigabits LTCC module with CMOS OOK Demodulator for short-range Mobile Applications

Park, Chul Soon, 2011 Triangle Symposium on Advanced ICT(TriSAI) Aug. 2011, pp.242 - 244, 2011-08

824
A 60 GHz Transceiver for BYOD

Kim, Hong Yi; Byeon, Chul Woo; Yoon, Chong Hyun; Oh, Inn-Yeal; Park, Chul-Soon, Global Symposium on Millimeter-Waves (GSMM) 2014, Korean Institute of Electromagnetic Engineering and Science (KIEES), 2014-05

825
A 60 GHz Variable Gain Amplifier with a Low Phase Imbalance in 0.18 μm SiGe BiCMOS Technology

Park, Chul Soon, 2012 IEEE Compound Semiconductor Integrated Circuits Symposium (CSICS) Oct. 2012, IEEE, 2012-10-17

826
A 60-GHz CMOS Power Amplifier with Combined Adaptive-Bias and Linearizer in 28-nm Process

Jung, Kyung Pil; Jang, Tae Hwan; Choi, Oung Soon; Park, Chul Soon, 52nd European Microwave Conference, EuMC 2022, pp.341 - 344, European Microwave Week, 2022-09-28

827
A 60-GHz Four-Element Beam-Tapering Receive Phased Array

Park, Geon Ho; Jang, Tae Hwan; Park, Chul Soon, 17th European Microwave Integrated Circuits Conference, EuMIC 2022, pp.300 - 303, European Microwave Week, 2022-09-27

828
A 60-GHz Low-Profile, Wide-band, and High-Gain E-shaped Patch Array with Parasitic Patches

JANG, TAEHWAN; Kim, Hong-Yi; Son, Hyuk Su; Lee, Chae Jun; Kang, Dong Min; Lee, HeeSung; Kim, Seung-Hun; et al, 2018 IEEE Radio and Wireless Symposium (RWS), pp.42 - 44, IEEE, 2018-01

829
A 60-GHz LTCC SiP with Low-Power CMOS OOK Modulator and Demodulator

박철순, 제11회RF집적회로기술워크샵, 2011-09

830
A 60-GHz Transceiver System with Low-Power CMOS OOK Modulator and Demodulator

Park, Chul Soon, 2011 IEEE International Microwave Workshop Series on Intelligent Radio for Future Personal Terminals (IMWS) Aug. 2011, pp.100 - 101, IEEE, 2011-08

831
A 60-GHz Variable Gain Amplifier with Phase-compensated Variable Attenuator

Park, Geon Ho; Kwon, Jae Kwang; Kang, Dong Min; Park, Chul Soon, 21st IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2021, pp.44 - 46, Institute of Electrical and Electronics Engineers Inc., 2021-01

832
A 60-GHz Wideband Down-conversion Mixer for Low-power and High-speed Wireless Communication

Lee, Hae Jin; Park, Chul Soon, 2018 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT), pp.28 - 30, IEEE, 2018-08

833
A 60-GHz X-type Variable Gain Attenuator

Park, Geon Ho; Park, Chul Soon, 14th Global Symposium on Millimeter-Waves and Terahertz, GSMM 2022, pp.161 - 162, Institute of Electrical and Electronics Engineers Inc., 2022-05

834
A 600ch 10b Source-Driver IC with a Charge-Modulation DAC Achieving 1-Horizontal Time of 1.5μs Suitable for 240Hz-Frame-Rate Mobile Displays

PARK, YOUSUNG; Gang, Gyeong-Gu; LIM, GYUWAN; Shin, seunghwa; Ahn, Yong-Sung; Kim, Wonyoun; Kim, Hyun-Sik, 2024 IEEE International Solid-State Circuits Conference (ISSCC), pp.432 - 433, IEEE, 2024-02-18

835
A 600mVPP-Input-Range 94.5dB-SNDR NS-SAR-Nested DSM with 4th-Order Truncation-Error Shaping and Input-Impedance Boosting for Biosignal Acquisition

Jeong, Kyeongwon; Yun, Gichan; Ha, Sohmyung; Je, Minkyu, 2022 IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022, pp.52 - 53, Institute of Electrical and Electronics Engineers Inc., 2022-06

836
A 60fps 496mW Multi-Object Recognition Processor with Workload-Aware Dynamic Power Management

Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Yoo, Hoi-Jun, 2009 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED'09, pp.365 - 370, Association for Computing Machinery / Institute of Electrical and Electronics Engineers Inc., 2009-08-19

837
A 60GHz CMOS Power Amplifier with Combined Adaptive-Bias and Linearizer in 28nm Process

Jung, Kyung Pil; Jang, Tae Hwan; Choi, Oung Soon; Park, Chul Soon, 52nd European Microwave Conference (EuMC), IEEE, 2022-09

838
A 60GHz low power CMOS demodulator for multi-gigabit wireless receiver systems

Lee, J.J.; Jung, D.Y.; Eun, K.C.; Cho, S.J.; Park, Chul Soon, Asia-Pacific Microwave Conference, APMC 2007, pp.0 - 0, 2007-12-11

839
A 60GHz Self-Shielded Yagi Antenna with Pyramidal Horn

Jang, Tae Hwan; Kim, Hong Yi; Park, Chul Soon, 2016 International Symposium on Antenna and Propagation, pp.786 - 787, ISAP, 2016-10

840
A 60GHz stripline BPF for LTCC system-in-package applications

Lee, Y.C.; Park, Chul Soon, 2005 IEEE MTT-S International Microwave Symposium, v.2005, no.0, pp.1413 - 1416, 2004 IEEE MTT-S IMS, 2005-06-12

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0