Browse "EE-Theses_Ph.D.(박사논문) " by Author 신영수

Showing results 1 to 13 of 13

1
(A) memory- and accuracy-aware gaussian parameter-based stereo matching using confidence measure = 신뢰도 측정을 이용한 메모리와 정확도 인지의 가우시안 매개변수 기반 스테레오 정합link

Lee, Yeongmin; Shin, Youngsoo; 신영수; Kyung, Chong-Min; et al, 한국과학기술원, 2020

2
Accelerating optical proximity correction through deep learning = 딥러닝을 이용한 광학 근접 보정 가속화link

Kwon, Yonghwi; Shin, Youngsoo; et al, 한국과학기술원, 2023

3
Active-mode and autonomous power gating circuits : synthesis and design considerations = Active 모드 파워 게이팅과 autonomous 파워 게이팅 : 합성 및 디자인 고려사항link

Seomun, Jun; 서문준; et al, 한국과학기술원, 2011

4
Automatic clock gating synthesis of gate-level netlist = 게이트 레벨 넷리스트의 클럭 게이팅 자동 합성link

Han, Inhak; 한인학; et al, 한국과학기술원, 2017

5
Circuit timing optimization through selective use of airgap IMD = 메탈 간 에어갭 유전체의 선택적인 사용을 통한 회로 타이밍 최적화link

Hyun, Daijoon; Shin, Youngsoo; et al, 한국과학기술원, 2019

6
Incremental placement for timing and routability optimization = 타이밍과 배선 가능성 향상을 위한 점증적 배치기법link

Jung, Jinwook; Youngsoo Shin; et al, 한국과학기술원, 2018

7
Layout design and optimization for self-aligned double patterning process = 자기 정렬 이중 패터닝 공정을 위한 레이아웃 디자인 및 최적화link

Song, Youngsoo; Shin, Youngsoo; et al, 한국과학기술원, 2019

8
Lithography test pattern synthesis and PVB prediction using GANs = GAN을 이용한 리소그래피 테스트 패턴 합성과 PVB 예측link

Kareem, Pervaiz; Shin, Young Soo; et al, 한국과학기술원, 2021

9
Low voltage design of pipeline architecture through one-cycle correction of timing errors = 단일 사이클 페널티를 갖는 타이밍 오류 정정 기법을 이용한 저전압 파이프라인 아키텍처 설계link

Shin, In-Sub; 신인섭; et al, 한국과학기술원, 2014

10
Physical design and mask synthesis for directed self-assembly lithography = 직접 자기조립 리소그라피를 위한 회로 설계 및 마스크 합성 연구link

Shim, Seongbo; 심성보; et al, 한국과학기술원, 2016

11
Pulsed-Latch-Based ASIC design for high performance and low power = 펄스래치기반 고성능 저전력 ASIC 설계link

Paik, Seung-Whun; 백승훈; et al, 한국과학기술원, 2011

12
Semicustom design methodology for power gated circuits for low leakage applications = 낮은 누설 전류를 갖는 응용을 위한 파워 게이팅 회로의 세미커스텀 설계 방법link

Kim, Hyung-Ock; 김형옥; et al, 한국과학기술원, 2009

13
Synthesis and optimization of dual operational-mode circuits = 듀얼 동작 모드 회로의 합성과 최적화link

Kim, Sangmin; 김상민; et al, 한국과학기술원, 2016

Discover

rss_1.0 rss_2.0 atom_1.0