Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 721 to 780 of 22817

721
A 34pJ/level.pixel Depth-estimation Processor with Shifter-based Pipelined Architecture for Mobile User Interface

Choi, Sungpill; Park, Seongwook; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference 2016, IEEE, 2016-11-09

722
A 35 dB-linear exponential function generator for VGA and AGC applications

Duong, Q.-H.; Lee, Sang-Gug, Asia and South Pacific Design Automation Conference - 2004, v.0, no.0, pp.304 - 306, IEEE Asia and South Pacific Design Automation Conference, 2004-01-27

723
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition

Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Park, Joonyoung; Kwon, Joonsoo; Kim, Joo-Young; Yoo, Hoi-Jun, Cool Chips 2010, Institute of Electrical and Electronics Engineers Inc., 2010-04-14

724
A 36.2 dB High SNR and PVT/Leakage-robust eDRAM Computing-In-Memory Macro with Segmented BL and Reference Cell Array

Ha, Sangwoo; Yoo, Hoi-Jun; Kim, Sangjin; Han, Donghyeon; Um, Soyeon, 2022 IEEE International Symposium on Circuits and Systems, ISCAS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-05

725
A 36fps SXGA 3D display processor with a programmable 3D graphics rendering engine

Kim, S.-H.; Yoon, J.-S.; Yu, C.-H.; Kim, D.; Chung, K.; Lim, H.S.; Park, HyunWook; et al, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.276 - 277, IEEE, 2007-02-11

726
A 372 ps 64-bit adder using fast pull-up logic in 0.18-/spl mu/m CMOS

Kim, Joo-Young; Lee, Kangmin; Yoo, Hoi-Jun, 2006 IEEE International Symposium on Circuits and Systems, Institute of Electrical and Electronics Engineers Inc., 2006-05-21

727
A 372ps 64-bit adder using fast pull-up logic in 0.18-um CMOS

Kim, J.; Lee, K.; Yoo, Hoi-Jun, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.13 - 16, 2006-05-21

728
A 39 μw body channel communication wake-up receiver with injection-locking ring-oscillator for wireless body area network

Cho, Hyunwoo; Yoo Hoi-Jun, IEEE International Symposium on Circuits and Systems - ISCAS 2012, IEEE, 2012-05-23

729
A 3D graphics processor with fast 4D vector inner product units and power aware texture cache

Yoon, J.-S.; Kim, D.; Yu, C.-H.; Kim, Lee-Sup, IEEE 2008 Custom Integrated Circuits Conference, CICC 2008, pp.539 - 542, 2008-09-21

730
A 3Gb/s 2.08mm2 100b error-correcting BCH decoder in 0.13μm CMOS process

Lee, Youngjoo; Yoo, Hoyoung; Park, In-Cheol, 18th Asia and South Pacific Design Automation Conference(ASP-DAC 2013), pp.85 - 86, IEEE, 2013-01-23

731
A 4-to-42V Input, 95.5% Efficiency, 3.2μA-IQ, DC-DC Buck Converter Featuring a Leakage-Emulated Bootstrap Re-fresher and Anti-Deadlock Self-Bias Supply for Battery-Powered Automotive Uses

Lee, HeeJun; Han, Hyunki; Kim, Hyun-Sik, 44th Annual IEEE Custom Integrated Circuits Conference, CICC 2023, IEEE, 2023-04-26

732
A 4.2mW 10MHz BW 74.4dB SNDR Fourth-order CT DSM with Second-order Digital Noise Coupling Utilizing an 8b SAR ADC

Jang, Il-Hoon; Seo, Min-Jae; Kim, Mi-Young; Lee, Jae-Keun; Baek, Seung-Yeob; Kwon, Sun-Woo; Choi, Michael; et al, Symposium on VLSI Circuits, pp.C34 - C35, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2017-06-06

733
A 4.3 GHz On-Off Mode Optically Controlled Oscillator Using an RTD/HPT Based OEIC Technology with 3 pJ/bit Energy Efficiency

Park, Jae Hong; Lee, Ki Won; Lee, Joo Seok; Yang, Kyoung Hoon, IEEE International Conference on InP and Related Materials, IEEE, 2015-06-29

734
A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices

Im, DongSeok; Yoo, Hoi-Jun; Kang, Sanghoon; Han, Donghyeon; Choi, Sungpill, IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

735
A 4.5V-Input 0.3-to-1.7V-Output Step-Down Always-Dual-Path DC-DC Converter Achieving 91.5%-Efficiency with 250mΩ-DCR Inductor for Low-Voltage SoCs

Ko, Jae-Young; Huh, Yeunhee; Ko, Min-Woo; Kang, Gyeong-Gu; Cho, Gyu-Hyeong; Kim, Hyun-Sik, 2021 Symposium on VLSI Circuits, IEEE, 2021-06-13

736
A 4.75GOPS single-chip programmable processor array consisting of a multithreaded processor and multiple SIMD and IO processors

Bae, Y.-D.; Park, In-Cheol, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, CICC, pp.583 - 586, 2004-10-03

737
A 4.7MHz 53 mu W Fully Differential CMOS Reference Clock Oscillator with-22dB Worst-Case PSNR for Miniaturized SoCs

Lee, Junghyup; Park, Pyoungwon; Cho, Seong-Hwan; Je, Minkyu, 2015 IEEE International Solid- State Circuits Conference, IEEE, 2015-02-23

738
A 4.8-mW 10Mb/s wideband signaling receiver analog front-end for human body communications

Song, Seong-Jun; Cho, Namjun; Kim, Sunyoung; Yoo, Hoi-Jun, ESSCIRC 2006 - 32nd European Solid-State Circuits Conference, pp.488 - 491, ESSCIRC, 2006-09-19

739
A 4.84mW 30fps Dual Frequency Division Multiplexing Electrical Impedance Tomography SoC for Lung Ventilation Monitoring System

Yoo, Hoi-Jun; Lee, Yongsu; Song, Kiseok, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C204 - C205, IEEE, 2015-06-18

740
A 4.86 μW/Channel Fully Differential Multi-Channel Neural Recording System

Lee, Taeju; Cha, Ji-Hyoung; Han, Su-Hyun; Kim, Seong-Jin; Je, Minkyu, International SoC Design Conference, pp.68 - 69, IEEE, 2018-11-13

741
A 4.8pJ/b 56Gb/s ADC-Based PAM-4 Wireline Receiver Data-Path with Cyclic Prefix in 14nm FinFET

Kim, Gain; Kull, Lukas; Luu, Danny; Braendli, Matthias; Menolfi, Christian; Francese, Pier-Andrea; Yueksel, Hazar; et al, 15th IEEE Asian Solid-State Circuits Conference, A-SSCC 2019, pp.239 - 240, Institute of Electrical and Electronics Engineers Inc., 2019-11

742
A 4.9 m Omega-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System

Hong, Sunjoo; Lee, Kwonjoon; Ha, Unsoo; Kim, Hyunki; Lee, Yongsu; Kim, Youchang; Yoo, Hoi-Jun, 2014 IEEE International Solid-State Circuits Conference (ISSCC), IEEE, 2014-02-11

743
A 4.9mW 270MHz CMOS frequency synthesizer/FSK modulator

Choi, H.; Shin, S.; Ku, Y.; Jeong, M.; Lee, Kwyro, 2003 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, pp.443 - 446, IEEE, 2003-06-08

744
A 4.9mW Neural Network Task Scheduler for Congestion-Minimized Network-on-Chip in Multi-Core Systems

Yoo, Hoi-Jun; Kim, Youchang; Kim, Gyeonghoon; Hong, Injoon; Kim, Donghyun, IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.213 - 216, IEEE, 2014-11-12

745
A 40Gb/s Low DC-power 2:1 Multiplexer IC using a Monolithic quantum-effect device technology

Choi, S; Jeong,Y; Lee, J; 양경훈, Korean Conference on Semiconductors, pp.26 - 27, 2009

746
A 40mV transformer-reuse self-startup boost converter with MPPT control for thermoelectric energy harvesting

Im, Jong-Pil; Wang, Se-Won; Lee, Kang-Ho; Woo, Young-Jin; Yuk, Young-Sub; Kong, Tae-Hwang; Hong, Sung-Wan; et al, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, pp.104 - 106, IEEE, 2012-02-20

747
A 40nm CMOS 12b 200MS/s Single-amplifier Dual-residue Pipelined-SAR ADC

Seo, Min-Jae; Kim, Ye Dam; Chung, Jae-Hyun; Ryu, Seung-Tak, 39th Symposium on VLSI Technology / 33rd Symposium on VLSI Circuits, pp.C72 - C73, IEEE, 2019-06-11

748
A 41.8 GHz Drain-to-Source and Gate-to-Source Feedback Colpitts VCO in 40-nm CMOS

Kang, Dong Min; Kim, Seung Hun; Jang, Tae Hwan; Park, Chul Soon, IEEE Asia-Pacific Microwave Conference (APMC), pp.513 - 515, IEEE, 2020-12

749
A 43.4μW photoplethysmogram-based heart-rate sensor using heart-beat-locked loop

Jang, Do-Hun; Cho, SeongHwan, 65th IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.474, IEEE, 2018-02-14

750
A 43.7 mW 94 fps CMOS Image Sensor-based Stereo Match-ing Accelerator with Focal-plane Rectification and Analog Census Transformation

Kim, Changhyeon; Bong, Kyeongryeol; Choi, Sungpill; Yoo, Hoi-Jun, 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1418 - 1421, IEEE, 2016-05-22

751
A 45 mW RTD/HBT MOBILE D-Flip Flop IC Operating up to 26 Gb/s

Kim, T; Jeong,Y; 양경훈, Korean Conference on Semiconductors, pp.703 - 704, 2006

752
A 45 mW RTD/HBT mobile D-flip flop IC operating up to 32 Gb/s

Kim, T.; Jeong, Y.; Yang, Kyounghoon, 2006 International Conference on Indium Phosphide and Related Materials Conference Proceedings, pp.348 - 351, IEEE, 2006-05-07

753
A 450-fs jitter PVT-robust fractional-resolution injection-locked clock multiplier using a DLL-based calibrator with replica-delay-cells

Kim, Mina; Choi, Seojin; Choi, Jaehyouk, 29th Annual Symposium on VLSI Circuits, VLSI Circuits 2015, pp.C142 - C143, Institute of Electrical and Electronics Engineers Inc., 2015-06-01

754
A 45nm SOI-CMOS PLL with a wideband LC-VCO

Lee, Kun-Seok; Beck, Sungho; Jeon, Hamhee; Yoon, Youngchang; Choi, Jaehyouk; Lee, Chang-Ho; Kenney, J. Stevenson, 54th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2011, IEEE, 2011-11-01

755
A 45μW injection-locked FSK Wake-Up receiver for crystal-less wireless body-area-network

Bae, Joonsung; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference (A-SSCC) 2012, IEEE, 2012-11-14

756
A 46 μW Motion Artifact Reduction Bio-Signal Sensor with ICA Based Adaptive DC Level Control for Sleep Monitoring System

Hong, Sunjoo; Lee, Seulki; Roh, Taehwan; Yoo, Hoi-Jun, IEEE Custom Integrated Circuits Conference - CICC 2012, IEEE, 2012-09-11

757
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

Lee, Juhyoung; Kim, Changhyeon; Choi, Sungpill; Shin, Dongjoo; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

758
A 47.4µJ/epoch Trainable Deep Convolutional Neural Network Accelerator for In-Situ Personalization on Smart Devices

Choi, Seungkyu; Sim, Jaehyeong; Kang, Myeonggu; Choi, Yeongjae; Kim, Hyeonuk; Kim, Lee-Sup, 2019 IEEE Asian Solid-State Circuits Conference, IEEE/SSCS, 2019-11-05

759
A 470-μW multi-modulus injection-locked frequency divider with division ratio of 2, 3, 4, 5 and 6 in 0.13-μm CMOS

Lee, J.; Cho, SeongHwan, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.332 - 335, 2007-11-12

760
A 477 GHz InP-based RTD Oscillator with High DC-to-RF Efficiency Utilizing Differential Topology", IEEE International Conference on InP and Related Materials

Kim, Maengkyu; Lee, Joo Seok; Yang, Kyoung Hoon, IEEE International Conference on InP and Related Materials, IEEE, 2015-06-29

761
A 48 μW, 8.88×10-3 W/W Batteryless Energy Harvesting BCC Identification System

Yoo, Hoi Jun; Lee, Jihee; Lee, Yongsu; Cho, Hyunwoo, IEEE International Symposium on Circuit and Systems, IEEE, 2016-05

762
A 480-MHz to 1-GHz sub-picosecond clock generator with a fast and accurate automatic frequency calibration in 0.13-μm CMOS

Lee, J.; Kim, K.; Lee, J.; Jang, T.; Cho, SeongHwan, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.67 - 70, 123, 2007-11-12

763
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Yoo, Hoi-Jun; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon, 2022 International Symposium on Circuits and Systems, ISCAS 2022, 2022 International Symposium on Circuits and Systems, 2022-05

764
A 490GHz 32mW Fully Integrated CMOS Receiver Adopting Dual-Locking FLL

Choi, Kyung-Sik; Utomo, Dzuhri Radityo; Kim, Keun-Mok; Yun, Byeong-Hun; Lee, Sang-Gug; Lee, In-Young, 2020 IEEE International Solid-State Circuits Conference, ISSCC 2020, pp.452 - 454, IEEE, 2020-02

765
A 490uW fully MICS compatible FSK transceiver for implantable devices

Bae, J.; Cho, N.; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.36 - 37, 2009-06-16

766
A 4Gb/s Clock and Data Recovery Circuit Using Four Phase 1/8 Rate Clock

Yoo, Hoi-Jun; Song, Seong-Jun; Lee, Jaeseo; Park, Sung Min, European Solid State Circuit Conference, pp.239 - 242, 2002

767
A 4th-Order Continuous-Time Delta-Sigma Modulator with Hybrid Noise-Coupling

Lozada, Kent Edrian; Ryu, Seung-Tak, 65th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2022, IEEE, 2022-08-10

768
A 4th-order CT I-DSM with Digital Noise Coupling and Input Pre-conversion Method for Initialization

Kim, Ye-Dam; Chung, Jae-Hyun; Lozada, Kent Edrian; Chang, Dong-Jin; Ryu, Seung-Tak, 17th IEEE Asian Solid-State Circuits Conference (A-SSCC) - Integrated Circuits and Systems for the Connection of Intelligent Things, IEEE, 2021-11-07

769
A 4th-order Low-pass Filter with High Linear Gm-C Cell for a Multi-mode Transceiver

PARK CHUL SOON, 제 18회 반도체학술대회, 제 18회 반도체학술대회, 2011-02-16

770
A 5 dBm 30.6% Efficiency 915 MHz Transmitter with 210 mu W ULP PLL Employing Frequency Tripler and Digitally Controlled Duty/Phase Calibration Buffer

Choi, Kyung-Sik; Kim, Keun-Mok; Ko, Jinho; Lee, Sang-Gug, IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE, 2020-11

771
A 5 dBm 30.6% Efficiency 915 MHz Transmitter with 210 μw ULP PLL Employing Frequency Tripler and Digitally Controlled Duty/Phase Calibration Buffer

Choi, Kyungsik; Kim, Keun-Mok; Ko, Jinho; Lee, Sang-Gug, 16th IEEE Asian Solid-State Circuits Conference, A-SSCC 2020, Institute of Electrical and Electronics Engineers Inc., 2020-11

772
A 5-Gb/s/pin transceiver for DDR memory interface with a crosstalk suppression scheme

Oh, K.-I.; Kim, Lee-Sup; Park, K.-I.; Jun, Y.-H.; Kim, K., IEEE 2008 Custom Integrated Circuits Conference, CICC 2008, pp.639 - 642, 2008-09-21

773
A 5-GHZ self-calibrated I/Q clock generator using a quadrature LC-VCO

Ahn, H.K.; Park, In-Cheol; Kim, B., Proceedings of the 2003 IEEE International Symposium on Circuits and Systems, pp.797 - 800, IEEE, 2003-05-25

774
A 5.1-μW UHF RFID tag chip integrated with sensors for wireless environmental monitoring

Cho, N.; Song, S.-J.; Kim, S.; Kim, S.; Yoo, Hoi-Jun, 31st European Solid-State Circuits Conference, pp.279 - 282, 2005-09-12

775
A 5.2 GHz Image Rejection CMOS Low Noise Amplifier Using Notch Filter

Lee, Sang-Gug, IEEE Midwest International Conference on Circuit And System, v.0, no.0, pp.0 - 0, IEEE Midwest International Conference on Circuit And System, 2003-12-01

776
A 5.2 mW IEEE 802.15.6 HBC Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based BPSK Demodulator

Yoo, Hoi-Jun; Cho, Hyunwoo; Lee, Hyungwoo; Bae, Joonsung, IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.297 - 300, IEEE, 2014-11-12

777
A 5.25 GHz Monolithic Image-Rejection Mixer

Lee, Sang-Gug; Kim, AW, IEEE Asia-Pacific Microwave Conference, v.0, no.0, pp.781 - 784, IEEE Asia-Pacific Microwave Conference, 2003-11-01

778
A 5.2mW self-configured wearable body sensor network controller and a 12μW 54.9% efficiency wirelessly powered sensor for continuous health monitoring system

Yoo, J.; Yan, L.; Lee, S.; Kim, Y.; Kim, H.; Kim, B.; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, pp.290 - 292, 2009-02-08

779
A 5.3 μw contact monitoring sensor with BCC electrode and MICS antenna for energy efficient unified WBAN transceiver

Cho, Hyunwoo; Bae, Joonsung; Song, Kiseok; Yoo, Hoi-Jun, The 54th IEEE International Midwest Symposium on Cicuits and Systems, MWSCAS 2011, IEEE, 2011-08-08

780
A 5.4 Gb/s clock and data recovery circuit using the seamless loop transition scheme without phase noise degradation

Lee, W.-Y.; Kim, Lee-Sup, 2011 IEEE International Symposium of Circuits and Systems, ISCAS 2011, pp.430 - 433, IEEE, 2011-05-15

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0