Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 661 to 720 of 22776

661
A 24-bit floating-point audio DSP controller supporting fast exponentiation

Lee, S.-W.; Kang, H.-J.; Park, In-Cheol, Proceedings of the 2003 IEEE International Symposium on Circuits and Systems, IEEE, 2003-05-25

662
A 24-mW 28-Gb/s Wireline Receiver with Low-frequency Equalizing CTLE and 2-tap Speculative DFE

Yoo, Hoi-Jun; Kim, Minseo; Bae, Joonsung; Ha, Unsoo, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1610 - 1613, IEEE, 2015-05-26

663
A 24.2-uW dual-mode human body communication controller for body sensor network

Choi, S.; Song, S.-J.; Sohn, K.; Kim, H.; Kim, J.; Cho, N.; Woo, J.-H.; et al, ESSCIRC 2006 - 32nd European Solid-State Circuits Conference, pp.227 - 230, 2006-09-19

664
A 24.2-μ W Dual-Mode Human Body Communication Controller for Body Sensor Network

Choi, Sungdae; Song, Seong-Jun; Sohn, Kyomin; Kim, Hyejung; Kim, Joo-Young; Cho, Namjun; Woo, Jeong-Ho; et al, ESSCIRC 2006 - 32nd European Solid-State Circuits Conference, Institute of Electrical and Electronics Engineers Inc., 2006-09-19

665
A 247 and 272 GHz Two-Stage Regenerative Amplifiers in 65 nm CMOS with 18 and 15 dB Gain Based on Double-Gmax Gain Boosting Technique

Park, Dae-Woong; Utomo, Dzuhri Radityo; Hong, Jong-Phil; Vaesen, Kristof; Wambacq, Piet; Lee, Sang-Gug, 2020 IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, IEEE, 2020-06-18

666
A 24–30 GHz Low-Loss Compact Differential Four-Way Power Divider

Lee, Seungchan; Park, Jinseok; Hong, Songcheol, 2021 IEEE/MTT-S International Microwave Symposium - IMS 2021, pp.492 - 495, IEEE, 2021-06-07

667
A 25.2mW EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring

Ha, Unsoo; Lee, Jaehyuk; Lee, Jihee; Kim, Kwantae; Roh, Taehwan; Choi, Sangsik; Yoo, Hoi-Jun, Internatioal Solid-State Circuits Conference, IEEE ISSCC, 2017-02-08

668
A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation (FPWM) for Extended Reach Optical Links in 28nm CMOS

Kwon, WooHyun; Won, Hyosup; Kim, Taeho; Song, Ha-Il; Choi, Hanho; Jeon, Sejun; Kwon, Soon-Won; et al, IEEE Symposium on VLSI Technology and Circuits, VLSI Technology and Circuits 2022, pp.156 - 157, Institute of Electrical and Electronics Engineers Inc., 2022-06

669
A 250MHz - 2GHz wide range delay-locked loop

Kim, B.-G.; Kim, Lee-Sup, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, CICC, pp.139 - 142, 2004-10-03

670
A 250MHz Low Voltage Swing Bus Driver for Embedded Memory Logic

Kim, Lee-Sup; Lee, HS; Kim, BS; Chang, SH, European Solid-State Circuits Conference, pp.424 - 427, 1999

671
A 250MHz-2GHz Wide Range Delay-Locked Loop

Kim, BG; Kim, Lee-Sup, IEEE Custom Integrated Circuits Conference, pp.139 - 142, IEEE, 2004-10-03

672
A 255nW ultra-high input impedance analog front-end for non-contact ECG monitoring

Lee, Jinseok; Kim, Hyojun; Cho, Seonghwan, 38th IEEE Annual Custom Integrated Circuits Conference (CICC), Institute of Electrical and Electronics Engineers Inc., 2017-05

673
A 259.6μW Nonlinear HRV-EEG Chaos Processor with Body Channel Communication Interface for Mental Health Monitoring

Roh, Taehwan; Hong, Sunjoo; Cho, Hyunwoo; Yoo, Hoi-Jun, IEEE Solid-State Circuits Conference - ISSCC 2012, pp.294 - 295, IEEE, 2012-02-21

674
A 25kHz-BW 97.4dB-SNDR 100.2dB-DR 3rd-order SARAssisted CT DSM with 1-0 MASH and DNC

Lozada, Kent Edrian; Lee, Dong-Hun; Kim, Ye Dam; Kim, Ho-Jin; Cho, Youngjae; Choi, Michael; Ryu, Seung-Tak, 2023 IEEE Asian Solid-State Circuits Conference, IEEE, 2023-11-08

675
A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor

Yoo, Hoi-Jun; Hong, Injoon; Kim, Gyeonghoon; Kim, Youchang; Kim, Donghyun; Nam, Byeong-Gyu, IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.209 - 212, IEEE, 2014-11-12

676
A 27.8μW Biopotential Amplifier Tolerant to 30VPP Common-Mode Interference for Two-Electrode ECG Recording in 0.18μm CMOS

Koo, Nahm Il; Cho, SeongHwan, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.366 - 368, Institute of Electrical and Electronics Engineers Inc., 2019-02-20

677
A 274μW Clock Synchronized Wireless Body Area Network IC with Super-Regenerative RSSI for Biomedical Ad-Hoc Network System

Lee, Yongsu; Yoo, Hoi-Jun, International Conference of the IEEE Engineering in Medicine and Biology Society, IEEE Engineering in Medicine and Biology Society, 2017-07

678
A 275mW heterogeneous multimedia processor for IC-stacking on Si-interposer

Kim, H.-E.; Yoon, J.-S.; Hwang, K.-D.; Kim, Y.-J.; Park, J.-S.; Kim, Lee-Sup, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.128 - 129, IEEE, 2011-02-20

679
A 28-dBm pHEMT power amplifier using voltage combiner for K-Band applications

Koo, B.; Park, C.; Lee, K.A.; Chun, J.-H.; Hong, Songcheol, 38th European Microwave Conference, EuMC 2008, pp.293 - 296, 123, 2008-10-27

680
A 28-GHz 20.4-dBm CMOS Power Amplifier with Adaptive Common-Gate Cross Feedback Linearization

Yoo, Jongho; Hong, Songcheol, 2021 IEEE/MTT-S International Microwave Symposium - IMS 2021, pp.438 - 441, IEEE, 2021-06-07

681
A 28.5mW 2.8GFLOPS floating-point multifunction unit for handheld 3D graphics processors

Nam, B.-G.; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.376 - 379, 2007-11-12

682
A 28Gb/s Transceiver with Chirp-Managed EDC for DML Systems

Kwon, Kyeongha; Yoon, Jonghyeok; CHOI, HANHO; Jeon, Younho; Yang, Jaehyeok; Kim, Bongjin; Kwon, Soon Won; et al, 65th International Solid-State Circuits Conference (ISSCC), pp.264, IEEE, 2018-02-13

683
A 28GHz 20.3%-Transmitter-Efficiency 1.5 degrees-Phase-Error Beamforming Front-End IC with Embedded Switches and Dual-Vector Variable-Gain Phase Shifters

Park, Jinseok; Lee, Seungchan; Lee, Dongho; Hong, Songcheol, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.176 - 178, Institute of Electrical and Electronics Engineers Inc., 2019-02-18

684
A 293/440 GHz Push-Push Double Feedback Oscillators with 5.0/−3.9 dBm Output Power and 2.9/0.6 % DC-to-RF Efficiency in 65 nm CMOS

Utomo, Dzuhri Radityo; Park, Dae-Woong; Yun, Byeonghun; Lee, Sang-Gug, 2020 IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, IEEE, 2020-06-18

685
A 2GHz LC-Oscillator with Automatic Swing Control for IMT-2000 Application

Cho, Gyu-Hyeong, The Second IEEE Asia Pacific Conference on ASICs, pp.239 - 242, IEEE, 2000-08

686
A 2Mb/s wideband pulse transceiver with direct-coupled interface for human body communications

Song, S.-J.; Cho, N.; Kim, S.; Yoo, J.; Yoo, Hoi-Jun, 2006 IEEE International Solid-State Circuits Conference, ISSCC, pp.558 - 559, 2006-02-06

687
A 2mW 4th-order 1.1GHz Source-Follower-Based LPF Design by Bandwidth/Power Ratio Optimization

류승탁; 신헌도, 한국반도체학술대회, KCS, 2010-02-25

688
A 2W CMOS hybrid switching amplitude modulator for EDGE polar transmitters

Kwak, T.-W.; Lee, M.-C.; Choi, B.-K.; Le, H.-P.; Cho, Gyu-Hyeong, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.518 -, 2007-02-11

689
A 3-5 GHz RF receiver front-end for UWB wireless system

Paek, J.; Park, B.; Hong, Songcheol, 36th European Microwave Conference, EuMC 2006, pp.1511 - 1514, 123, 2006-09-10

690
A 3-way SIMD engine for programmable triangle setup in embedded 3D graphics hardware

Chung, K.; Kim, D.; Kim, Lee-Sup, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4546 - 4549, IEEE, 2005-05-23

691
A 3.1-10.6 GHz RF receiver front-end in 0.18 um CMOS for ultra-wideband applications

Bonghyuk Park; Kwangchun Lee; Sangsung Choi; Hong, Songcheol, 2010 IEEE MTT-S International Microwave Symposium, MTT 2010, pp.1616 - 1619, IEEE, 2010-05-23

692
A 3.1-4.8 GHz Low Noise Amplifier in 0.18-um CMOS for 802.15.3a UWB Receivers

Park, Kyu Ho; Chung, Sung-Won; Lee, Seung-Yoon, International SoC Design Conference, 2004

693
A 3.125-to-28.125 Gb/s Multi-Standard Transceiver with a Fully Channel-independent Operation in 40nm CMOS

Yoon, Jong-Hyeok; Kwon, Kyeongha; Bae, Hyeon-Min, IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2018-04

694
A 3.13nJ/sample Energy-efficient Speech Extraction Processor for Robust Speech Recognition in Mobile Head-mounted Display Systems

Yoo, Hoi-Jun; Lee, Jinmook; Park, Seongwook; Hong, Injoon, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1790 - 1793, IEEE, 2015-05-26

695
A 3.2-Gb/s transceiver with a quarter-rate linear phase detector reducing the phase offset

Ha, K.-S.; Kim, Lee-Sup, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.217 - 220, 2008-11-03

696
A 3.3 V operating wideband differential MMIC VCO implemented with active tunable inductance

Park, Chul Soon; Kim, UH; Park, JU; Jun, SH, APMC2003, v.0, no.0, pp.1454 - 1457, APMC2003, 2003-11-01

697
A 3.3V operation single HBT power amplifier MMIC for 2.4GHz/5GHz dual-band WLAN applications

Noh Y.S.; Kim J.H.; Park J.H.; Park, Chul Soon, Proceedings - 2004 IEEE Radio and Wireless Conference, RAWCON, v.0, no.0, pp.483 - 486, 2004 IEEE RWCON, 2004-09-19

698
A 3.6 TOPS/W Hybrid FP-FXP Deep Learning Processor with Outlier Compensation for Image-to-image Application

Li, Zhiyong; Im, Dongseok; Lee, Jinsu; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (IEEE ISCAS), IEEE, 2021-05

699
A 3.68aFrmsResolution 183dB FoMs 4th-order Continuous-Time Bandpass Σ Capacitance-to-Digital Converter in 0.18μm CMOS

Park, Sujin; Chae, Hangil; Cho, SeongHwan, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

700
A 3.9 mu W, 81.3dB SNDR, DC-coupled, Time-based Neural Recording IC with Degeneration R-DAC for Bidirectional Neural Interface in 180nm CMOS

Jeon, Hyuntak; Bang, Jun-Suk; Jung, Yoontae; Lee, Taeju; Jeon, Yeseul; Koh, Seok-Tae; Choi, Jaesuk; et al, IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.91 - 92, IEEE, 2018-11

701
A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder

Yan, L.; Bae, J.; Lee, S.; Kim, B.; Roh, T.; Song, K.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.490 - 491, IEEE, 2010-02-07

702
A 3.9μW, 81.3dB SNDR, DC-coupled, Time-based Neural Recording IC with Degeneration R-DAC for Bidirectional Neural Interface in 180nm CMOS

Jeon, Hyuntak; Bang, Jun-Suk; Jung, Yoontae; Lee, Taeju; Jeon, Yeseul; Koh, Seok-Tae; Choi, Jaeseok; et al, IEEE Asian Solid-State Circuits Conference, IEEE, 2018-11-07

703
A 300mA BGR-Recursive Low-Dropout Regulator Achieving 102-to-80dB PSR at Frequencies from 100Hz to 0.1MHz with Current Efficiency of 99.98%

Kim, Dong-Kyu; Kim, Hyun-Sik, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C132 - C133, The IEEE Electron Devices Society,The Japan Society of Applied Physics, 2019-06-12

704
A 300mW programmable QAM transceiver for VDSL applications

Nam, H.; Kim, T.H.; Ryu, C.H.; Kim, M.G.; Kim, H.J.; Song, Y.; Shim, J.H.; et al, IEEE International Solid-State Circuits Conference, pp.418 - 504, IEEE, 2003-02-10

705
A 30fps stereo matching processor based on belief propagation with disparity-parallel PE array architecture

Park, J.; Lee, S.; Yoo, Hoi-Jun, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.453 - 456, IEEE, 2010-05-30

706
A 31.2pJ/disparity/pixel Stereo Matching Processor with Stereo SRAM for Mobile UI Application

LEE, Jinsu; Shin, Dongjoo; Lee, Kyuho Jason; Yoo, Hoi-Jun, 2017 Symposia on VLSI Technology and Circuits, 2017 Symposia on VLSI Technology and Circuits, 2017-06

707
A 32-bit Multithreaded RISC for Embedded Real-time Application

배영돈; 박인철, 한국반도체학술대회 (KSC), pp.249 - 250, 2002-02

708
A 32.8mW 60fps Cortical Vision Processor for Spatio-Temporal Action Recognition

Park, Seongwook; Park, Junyoung; Hong, Injoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.1002 - 1005, IEEE, 2013-05-21

709
A 320mW 342GOPS real-time moving object recognition processor for HD 720p video streams

Oh, Jinwook; Kim, Gyeonghoon; Park, Junyoung; Hong, Injoon; Lee, Seungjin; Yoo, Hoi-Jun, IEEE Solid-State Circuits Conference - ISSCC 2012, pp.220 - 221, IEEE, 2012-02-21

710
A 320μV-Output Ripple and 90ns-Settling Time at 0.5V Supply Digital-Analog-Hybrid LDO Using Multi-Level Gate-Voltage Generator and Fast-Decision PD Detector

Lim, Younghyun; Lee, Jeonghyun; Lee, Yongsun; Yoo, Seyeon; Choi, Jaehyouk, 44th IEEE European Solid State Circuits Conference, ESSCIRC 2018, pp.94 - 97, Institute of Electrical and Electronics Engineers Inc., 2018-09-05

711
A 32KByte One-Time Programmable ROM with 3-Transistor Cell Standard CMOS Gate-Oxide Antifuse

Lee, K; Cha, Hyouk-Kyu; Kim, Jinbong, The 12th Korean Conference on Semiconductors, 2005

712
A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications

Lee, Seok-Hee, International Electron Devices Meeting, pp.647 - 650, 2009-12-09

713
A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition

Yoo, Hoi-Jun; Shin, Dongjoo; Hong, Injoon; Kim, Gyeonghoon, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C52 - C53, IEEE, 2015-06-17

714
A 33.2Mvertices/sec programmable geometry engine for multimedia embedded systems

Yu, C.-H.; Kim, D.; Kim, Lee-Sup, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4574 - 4577, IEEE, 2005-05-23

715
A 330MHz low-jitter and fast-locking direct skew compensation DLL

Lee, J.-H.; Han, S.-H.; Yoo, Hoi-Jun, 2000 IEEE International Solid-State Circuits Conference 47th Annual ISSCC, pp.352 - 353, IEEE, 2000-02-07

716
A 333TOPS/W Logic-Compatible Multi-Level Embedded Flash Compute-In-Memory Macro with Dual-Slope Computation

Choi, Edward Jongyoon; Choi, Injun; Lukito, Vincent; Choi, Dong-Hwi; Yi, Donghyeon; Chang, Ik-Joon; Ha, Sohmyung; et al, 2023 IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2023-04

717
A 33μW/node Duty Cycle Controlled HBC Transceiver System for Medical BAN with 64 Sensor Nodes

Yoo, Hoi-Jun; Lee, Hyungwoo; Cho, Hyunwoo, Custom Integrated Circuits Conference (CICC), pp.1 - 8, IEEE, 2014-09-15

718
A 34.1fps Scale-space Processor with Two-dimensional Cache for Real-time Object Recognition

Kim, Youchang; Park, Junyoung; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.689 - 692, IEEE, 2013-05-21

719
A 345mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition

Lee, S.; Oh, J.; Kim, M.; Park, J.; Kwon, J.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.332 - 333, IEEE, 2010-02-07

720
A 34pJ/level.pixel Depth-estimation Processor with Shifter-based Pipelined Architecture for Mobile User Interface

Choi, Sungpill; Park, Seongwook; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference 2016, IEEE, 2016-11-09

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0