Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 641 to 660 of 22776

641
A 20Gb/s Transceiver with Framed-Pulsewidth Modulation in 40nm CMOS

Jeon, Sejun; Kwon, WooHyun; Yoon, Taehun; Yoon, Jong-Hyeok; Kwon, Kyeongha; Yang, Jaehyeok; Bae, Hyeon-Min, 65th International Solid-State Circuits Conference (ISSCC), pp.270, IEEE, 2018-02-11

642
A 210 nW 29.3 ppm/C 0.7 V Voltage Reference with a Temperature Range of -50 to 130 C in 0.13 um CMOS

Lee, Junghyup; Cho, SeongHwan, IEEE Symposium on VLSI Circuits, pp.278 - 279, IEEE, 2011-06-17

643
A 210MHz 15mW unified vector and transcendental function unit for handheld 3-D graphics systems

Nam, B.-G.; Kim, H.; Yoo, Hoi-Jun, 2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.95 - 98, 2006-11-13

644
A 210mW graphics LSI implementing full 3D pipeline with 264Mtexels/s texturing for mobile multimedia applications

Woo, R.; Cho,i S.; Sohn, J.-H.; Song, S.-J.; Bae, Y.-D.; Yoon, C.-W.; Nam, B.-G.; et al, 2003 Digest of Technical Papers, 2003-02-09

645
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 34th European Solid-State Circuits Conference, ESSCIRC 2008, pp.462 - 465, IEEE, 2008-09-15

646
A 2144.2-bits/min/mW 5-Heterogeneous PE-based Domain-Specific Reconfigurable Array Processor for 8-Ch Wearable Brain-Computer Interface SoC

Byun, Wooseok; Je, Minkyu; Kim, Ji-Hoon, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

647
A 21fJ/conv-step 9 ENOB 1.6GS/s 2x Time-Interleaved FATI SAR ADC with Background Offset and Timing-Skew Calibration in 45nm CMOS

Sung, BRS; Jo, DS; Jang, IH; Lee, DS; You, YS; Lee, YH; Park, HJ; et al, International Solid-State Circuits Conference (ISSCC), IEEE, 2015-02-25

648
A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications

Lee, Jinmook; Shin, Dongjoo; Yoo, Hoi-Jun, 13th IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.237 - 240, IEEE Asian Solid-State Circuits Conference 2017, 2017-11

649
A 22.4 mW competitive fuzzy edge detection processor for volume rendering

Kwon, J.; Kim, M.; Oh, J.; Yoo, Hoi-Jun, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.1883 - 1886, IEEE, 2010-05-30

650
A 22.6 mu W Biopotential Amplifier with Adaptive Common-Mode Interference Cancelation Achieving Total-CMRR of 104dB and CMI Tolerance of 15V(pp) in 0.18 mu m CMOS

Koo, Nahmil; Kim, Hyojun; Cho, SeongHwan, IEEE International Solid-State Circuits Conference (ISSCC), pp.396 - +, IEEE, 2021-02

651
A 22.8-to-32.4 GHz Injection-locked Frequency Tripler with Source Degeneration

Shin, Saebyeok; Utomo, Dzuhri Radityo; Jung,Hyunki; Han, Seok-Kyun; Lee, Sang-Gug; Kim, Jusung, 15th International SoC Design Conference(ISOCC), pp.107 - 108, ISOCC, 2018-11-14

652
A 22.8GOPS 2.83mW neuro-fuzzy Object Detection Engine for fast multi-object recognition

Kim, Minsu; Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.260 - 261, Institute of Electrical and Electronics Engineers Inc., 2009-06-16

653
A 227pJ/b-83dBm 2.4GHz Multi-Channel OOK Receiver Adopting Receiver-Based FLL

Lee, Jae-Seung; Kim, Joo-Myoung; Lee, Jae-Sup; Han, Seok-Kyun; Lee, Sang-Gug, 2015 IEEE International Solid-State Circuits Conference, IEEE, 2015-02

654
A 230-260GHz wideband amplifier in 65nm CMOS based on dual-peak Gmax-core

Park, Dae-Woong; Dzuhri Radityo Utomo; Hong, Jong-Phil; Lee, Sang-Gug, 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp.301 - 302, IEEE, 2018-01

655
A 230–260GHz wideband amplifier in 65nm CMOS based on dual-peak Gmax-core

Park, Dae-Woong; Dzuhri Radityo Utomo; Hong, Jong-Phil; Lee, Sang-Gug, 2017 Symposium on VLSI Circuits, IEEE, 2017-06

656
A 231 MHz, 2.18mW 32-bit logarithmic arithmetic unit for fixed-point 3D graphics system

Kim, H.; Nam, B.-G.; Sohn, J.-H.; Yoo, Hoi-Jun, 1st IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.305 - 308, 2005-11-01

657
A 237-263 GHz CMOS Frequency Doubler with 0.9 dBm Output Power and 2.87 % Power Efficiency Based on Harmonic Matched Gmax-Core

Moon, Byeong-Taek; Yun, ByeongHun; Lee, Sang-Gug, 2022 IEEE/MTT-S International Microwave Symposium, IMS 2022, pp.653 - 656, Institute of Electrical and Electronics Engineers Inc., 2022-06-22

658
A 23W Solar-Powered Keyword-Spotting ASIC with Ring-Oscillator-Based Time-Domain Feature Extraction

Kim, Kwantae; Gao, Chang; Graca, Rui; Kiselev, Ilya; Yoo, Hoi-Jun; Delbruck, Tobi; Liu, Shih-Chii, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.370 - 372, Institute of Electrical and Electronics Engineers Inc., 2022-02

659
A 24 μW 38.51 mΩrms Resolution Bio-Impedance Sensor with Dual Path Instrumentation Amplifier

Kim, Kwantae; Song, Kiseok; Bong, Kyeongryeol; Lee, Jaehyuk; Lee, Kwonjoon; Lee, Yongsu; Ha, Unsoo; et al, European Solid-State Circuits Conference 2017, European Solid-State Circuits Conference 2017, 2017-09

660
A 24-30GHz Wideband Power Amplifier With High-Coupling-Coefficient Transmission Line Transformer and Staggered Tuning

Lee, Gyuha; Lee, Jooeun; Park, Jinhyeok; Hong, Songcheol, 14th Global Symposium on Millimeter-Waves and Terahertz, GSMM 2022, pp.12 - 14, Institute of Electrical and Electronics Engineers Inc., 2022-05

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0