Browse "School of Electrical Engineering(전기및전자공학부)" by Type Thesis(Master)

Showing results 3481 to 3540 of 5718

3481
Theoretical study on scalable hashing method for large scale nearest neighbor search = 해싱 기법을 이용한 대용량 최단이웃점 탐색 문제에 대한 이론 연구link

Sohn, Sung-Ryull; 손성열; et al, 한국과학기술원, 2013

3482
Thermal Signature: An Accurate and Fast Thermal Model = Thermal Signature: 정확하고 빠른 온도 지표link

Kung, Jae-Ha; 궁재하; et al, 한국과학기술원, 2012

3483
Thermal transmission line (TTL) and fluidic through silicon via (F-TSV) based embedded cooling structure for high bandwidth memory (HBM) module considering signal and thermal integrity (STI) = 신호 무결성 및 열 무결성을 고려한 고대역폭 메모리 모듈을 위한 열 전송 선로와 유체 흐름용 실리콘 관통 비아 기반 내장형 냉각 구조 설계link

Son, Keeyoung; Kim, Joungho; et al, 한국과학기술원, 2021

3484
Thermal-aware energy minimization of 3D-stacked L2 cache through DVFS = 동적 전압 / 주파수 조절을 통한 3차원 적층 캐쉬의 열을 고려한 에너지 최소화link

Yun, Woo-Jin; 윤우진; et al, 한국과학기술원, 2012

3485
Thermal-aware time budgeting for hierarchical VLSI designs = 온도를 고려한 계층적 VLSI 설계의 시간 분배 기법link

Jung, Min-Wook; 정민욱; et al, 한국과학기술원, 2010

3486
Thermo-optic effect를 이용한 필터형 광변조기 = A thermo-optic modulator based on a ZnS fabry-perot etalonlink

정대광; Jung, Dae-Kwang; et al, 한국과학기술원, 1996

3487
Thread-aware garbage collection for server applications = 서버 애플리케이션을 위해 쓰레드를 구별하여 처리하는 가비지 컬랙션link

Kim, Woo-Jin; 김우진; et al, 한국과학기술원, 2003

3488
Three dimensional ultrashort echo time (UTE) MRI for meniscus enhancement = 반월상 연골판 강조 영상을 위한 삼차원 초단 에코 시간 자기 공명 영상 기법link

Min, Kyung Tak; Park, Hyun Wook; et al, 한국과학기술원, 2018

3489
Three integer multiplication based complex constant multiplier for multipath pipelined 128-point FFT processors = 다중경로의 파이프라인 128 포인트 FFT 프로세서를 위한 3개의 곱셈 기반 복소수 상수 곱셈기link

Lin, Hua; LinHua; et al, 한국과학기술원, 2014

3490
Three-dimensional fluorescence microscopy through virtual refocusing using a recursive light propagation network = 3차원 형광영상 복원을 위한 딥러닝 기반 가상 재초점 기술 연구link

Shin, Changyeop; Yoon, Young-Gyu; et al, 한국과학기술원, 2022

3491
Three-dimensional volume image reconstruction with cone beam projections = 원추형 방사선 투영 정보를 이용한 3차원 영상 재구성link

Min, Hyoung-Bok; 민형복; et al, 한국과학기술원, 1982

3492
Three-switch LLC resonant converter for high efficiency TV power supply with universal input voltage = 유니버셜 입력 전압을 갖는 3개의 스위치 LLC 공진형 컨버터link

Choi, Jae-Won; Moon, Gun-Woo; et al, 한국과학기술원, 2017

3493
Threshold voltage controllable high-order anodized NbO$_x$ Mott memristor and charge trap HfO$_2$ memcapacitor for neuromorphic computing = 뉴로모픽 컴퓨팅을 위한 문턱전압 조절이 가능한 고차 양극산화 나이오븀 옥사이드 모트 멤리스터 및 전하 트랩 하프늄 다이옥사이드 멤커패시터link

Shin, Hyeok; 신혁; et al, 한국과학기술원, 2024

3494
Throughput improvement for IEEE 802.11 MAC with transmission control = 전송 제어를 통한 IEEE 802.11 MAC에서의 성능 개선link

Yu, Kwang-Myung; 유광명; et al, 한국과학기술원, 2008

3495
Throughput improvement for wireless multihop networks with LRED = LRED기반의 무선 다중 도약망을 위한 전송률 향상link

Jeon, Jae-Han; 전재한; et al, 한국과학기술원, 2007

3496
THz IC 응용을 위한 높은 수율과 균일도를 지니는 Dry-etched RTD의 개발 = Development of Dry-etched RTDs with high yield and uniformity for THz IC applicationslink

임재진; 양경훈; et al, 한국과학기술원, 2018

3497
THz Imaging용 가변 용량 쇼트키 접합 다이오드를 사용한 RTD기반 주파수 변조 발진기 개발 = Development of RTD-based frequency tunable oscillators by using InP-based schottky barrier varactor diodes for THz imaging applicationslink

하동호; 양경훈; et al, 한국과학기술원, 2018

3498
Ti 박막 확산을 이용한 $LiNbO_3$의 주기적 분극반전 = Periodic domain inversion of $LiNbO_3$ using Ti film diffusionlink

이병탁; Lee, Byeong-Tak; et al, 한국과학기술원, 1994

3499
Tiling-based polygon rasterizer with dynamic stamp positioning = 동적인 스탬프 위치를 이용한 타일기반 폴리곤 래스터라이저link

Chun, Kang-Hyup; 천강협; et al, 한국과학기술원, 2003

3500
Time delay estimation based on pitch harmonics weight and wiener gain for robust speaker localization = 강인한 화자 위치 인식을 위한 피치 고조파들의 가중치와 위너 이득에 기반한 시간 지연 추정link

Choi, Jin-Ho; 최진호; et al, 한국과학기술원, 2008

3501
Time domain algebraic operation circuits for high performance mixed-mode systems = 고성능 혼성모드 시스템 설계를 위한 시간 영역 산술 연산 회로link

Kim, Sung-Jin; 김성진; et al, 한국과학기술원, 2010

3502
Time slot allocation and power control in hybrid CDMA/TDMA = Hybrid CDMA/TDMA 환경에서의 시간 슬롯 할당과 전력제어link

Song, Won-Gyu; 송원규; et al, 한국과학기술원, 2001

3503
Time-based RF sampling bandpass ADC using voltage-controlled oscillators = 여러 개의 전압제어 발진기를 이용한 고주파 시간 기반 아날로그 디지털 변환기link

Yoo, Young-Gyu; 윤영규; et al, 한국과학기술원, 2009

3504
Time-interleaved hybrid two-step ADC sharing VTC and TDC reference in second stage = 전압-시간 변환기와 시간-디지털 변환기의 기준을 공유하는 시간-인터리빙 하이브리드 투 스텝 아날로그 디지털 변환기link

Hong, Junseok; Cho, SeongHwan; et al, 한국과학기술원, 2022

3505
Time-interleaved single slope ADC using counter-based TDC = 여러개의 단일 기울기를 이용한 아날로그 디지털 변환기link

Choi, Hyoung-Taek; 최형택; et al, 한국과학기술원, 2012

3506
Time-multiplexing PWM LED driver with grayscale enhancement techniques for signage display = 사이니지 디스플레이를 위한 휘도 개선 시분할 PWM LED 드라이버link

Kim, Ji-Hwan; Lee, Sang-Gug; et al, 한국과학기술원, 2021

3507
Time-varying two-phase optimization and its application to neural network learning = 시변 이상 최적화 및 이를 이용한 신경회로망의 학습link

Myeong, Hyeon; 명현; et al, 한국과학기술원, 1994

3508
Timed petri nets를 이용한 공장 자동화 시스템의 scheduling에 관한 연구 = A study on scheduling for factory automation system using timed petri netslink

전명근; Chun, Myung-Geun; et al, 한국과학기술원, 1989

3509
Timing analysis and optimization of sequential circuits with dual-edge-triggered flip-flops = 듀얼-에지-구동 플립플랍을 이용한 순차 회로의 타이밍 분석과 최적화link

Oh, Chung-Ki; 오충기; et al, 한국과학기술원, 2009

3510
Timing error masking by exploiting operand value locality in SIMD architecture = SIMD 구조의 피연산자 값 지역성을 활용한 타이밍 오류 제거 기법link

Sim, Jaehyeong; 심재형; et al, 한국과학기술원, 2014

3511
Timing extraction from baseband data waveforms = 데이타 파형으로부터의 동기신호 추출link

Choi, Yang-Hee; 최양희; et al, 한국과학기술원, 1977

3512
Timing yield analysis of sequential circuits considering clock network = 클락 네트워크를 고려한 순차 회로의 타이밍 수율 분석link

Shin, Chang-Sik; 신창식; et al, 한국과학기술원, 2009

3513
Titanium-확산 $LiNbO_3$ waveguide 제작 = Fabrication of Ti-indiffused $LiNbO_3$ waveguidelink

권기영; Kwon, Kee-Young; et al, 한국과학기술원, 1983

3514
TLC 낸드 플래시 저장 장치를 위한 비 이진 저밀도 검사 부호 복호기 구현 = Implementation of NB-LDPC Decoder for TLC NAND Flash Memorylink

강성현; 문재균; et al, 한국과학기술원, 2017

3515
TM scattering from a dielectric-loaded semi-circular trough in a conducting plane = 무한 평면도체상에 유전체로 채워진 반-원형 홈에서의 산란 해석link

Park, Tah-Joon; 박타준; et al, 한국과학기술원, 1992

3516
TM-scattering from a slit in a thick conducting screen = 평면 도체상의 슬릿에 의한 TM파 산란 해석link

Kang, Soo-Hoon; 강수훈; et al, 한국과학기술원, 1993

3517
TMDC based charge trap memory with high-k polymer dielectric for soft electronics = 유연 소자를 위한 높은 유전 상수의 고분자와 이차원 물질 기반의 전하 저장 메모리link

Yang, Sang Cheol; Choi, Sung-Yool; et al, 한국과학기술원, 2018

3518
TMS32010 을 이용한 자동 음성응답 시스템 개발 연구 = Development of digital audio response system using TMS32010link

김인광; Kim, In-Gwang; et al, 한국과학기술원, 1986

3519
TMS320c6201을 이용한 H.263 부호화기 구현 및 동영상 처리를 위한 개선 방안 = H.263 codec implementation using TMS320C6201 and improvement scheme for video signal processorslink

조경석; Cho, Kyung-Suk; et al, 한국과학기술원, 2000

3520
Token passing lan protocol의 모델링 및 성능에 관한 연구 = Modeling and performance study of token passing lan protocollink

임동민; Lim, Dong-Min; et al, 한국과학기술원, 1988

3521
Token ring lan 에서의 voice/data integration 에 관한 연구 = A study on voice/data integration in token ring lanlink

남세현; Nam, Sea-Hyeon; et al, 한국과학기술원, 1987

3522
Tolerance optimization in the circuit design permitting tuning components = 가변소자를 고려한 회로설계에서의 부품공차 최적화link

Huh, Youm; 허염; et al, 한국과학기술원, 1976

3523
Topology optimized photonic components towards higher integration density = 고밀도 집적을 위한 토폴로지 최적화된 포토닉 소자에 관한 연구link

Sabaina, Irfan; 사바이나 이르판; et al, 한국과학기술원, 2023

3524
Topology synthesis for low power cascaded crossbar switches = 저전력의 다단계 크로스바 스위치를 위한 토폴로지 합성 방법link

Jang, Yong-Ho; 장용호; et al, 한국과학기술원, 2010

3525
Torque ripple reduction technique with commutation time control for brushless DC motor = 브러시 없는 직류 전동기의 전환 시간 조절을 이용한 토크 리플 저감 기법link

Kim, Jun-Ho; 김준호; et al, 한국과학기술원, 2011

3526
Torque ripple reduction technique with commutation time control for brushless DC motor = 브러시 없는 직류 전동기의 전환 시간 조절을 이용한 토크 리플 저감 기법link

Kim, Jun-Ho; 김준호; et al, 한국과학기술원, 2011

3527
Total inhomogeneity correction including chemical shifts and susceptibility by view angle tilting = 경사지게 투영시킴으로써 화학적 천이와 대자율을 포함하는 모든 자계 불균일성의 보정link

Kim, Young-Keun; 김영근; et al, 한국과학기술원, 1988

3528
Total ionizing dose effects on sub-100 nm gate-all-around MOSFETs = 단채널 전면 게이트 트랜지스터의 누적방사선량 효과에 대한 연구link

Moon, Joon-Bae; 문준배; et al, 한국과학기술원, 2014

3529
Touch screen point detection for time-varying inputs = 시간에 따라 변하는 터치스크린 입력 측정link

Choi, Min-Su; 최민수; et al, 한국과학기술원, 2014

3530
Touch signal readout IC for capacitive touch-screen = 정전용량 방식 터치스크린을 위한 터치 신호 검출 회로link

Gwak, Ki-Uk; 곽기욱; et al, 한국과학기술원, 2011

3531
Touch signal readout IC for capacitive touch-screen = 정전용량 방식 터치스크린을 위한 터치 신호 검출 회로link

Gwak, Ki-Uk; 곽기욱; et al, 한국과학기술원, 2011

3532
Towards a security provenance based framework on analyzing root cause of enterprise network security incidents = 엔터프라이즈 네트워크 보안 사고의 근본 원인 분석을 위한 보안 출처 기반 프레임워크 구축 연구link

Seo, Hyunmin; Shin, Seungwon; et al, 한국과학기술원, 2020

3533
Towards efficient service-level statistical multiplexing and resource allocation for inter-DC WAN = 데이터센터 간 광역 통신망에서 효율적인 서비스 수준 통계적 다중화 및 자원 할당link

Kim, Segi; 김세기; et al, 한국과학기술원, 2016

3534
Towards practical oblivious cloud storage = 데이터 접근 패턴을 인식하지 못하는 클라우드 저장소의 실용성에 대한 연구link

Cho, Daeyang; Han, Dongsu; et al, 한국과학기술원, 2020

3535
Towards robust deep hiding under non-differentiable distortions for practical blind watermarking = 실속형 블라인드 워터마킹을 위한 미분불가능한 왜곡에 의한 강력한 강인한 심층 은닉에 대한 연구link

Karjauv, Adil; Kweon, In So; et al, 한국과학기술원, 2021

3536
Towards robust neural networks and efficient exploration in reinforcement learning = 신경망의 강건성 향상 및 강화학습에서의 효율적인 환경탐색 방법에 관한 연구link

Usama, Muhammad; Chang, Dong Eui; et al, 한국과학기술원, 2019

3537
Towards the swift prediction of the remaining useful life of lithium-ion batteries and uncertainty analysis with end-to-end deep learning = 딥러닝을 통한 리튬 이온 전지의 신속한 잔존수명 예측과 진단의 불확정성에 관한 연구link

Lee, Dongheon; Yi, Yung; et al, 한국과학기술원, 2020

3538
Tracking and classifying reasoning process of prefrontal cortex using portable fNIRS system = 휴대용 근적외선 시스템을 활용한 전전두엽피질에서의 추론 과정 추적 및 분류link

Park, Byung-Ju; Bae, Hyeon-Min; et al, 한국과학기술원, 2022

3539
Traffic adaptive uplink scheduling scheme for relay station in the IEEE 802.16 based multi-hop cellular system = IEEE 802.16 기반 멀티홉 셀룰라 시스템에서 중계노드의 트래픽 적응적 상향링크 스케쥴링 방법link

Jo, O-Hyun; 조오현; et al, 한국과학기술원, 2007

3540
Traffic congestion control using group metering = 단체 진입로를 이용한 교통 혼잡 제어에 관한 연구link

Kim, Sung-Ryul; 김성률; et al, 한국과학기술원, 1997

Discover

Type

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0