Browse "School of Electrical Engineering(전기및전자공학부)" by Author KAIST

Showing results 236 to 295 of 851

236
Image acquisition method and apparatus using parallel scheme of radio frequency irradiation and data acquisition

Park, Hyun Wook; Kim, Byung Jai

237
Image compression and restoring method for binary images

박현욱, 2005-09-13

238
IMAGE DATA POST-PROCESSING METHOD FOR REDUCING QUANTIZATION EFFECT, APPARATUS THEREFOR

LEE, Yung Lyul; PARK, Hyun Wook, 2007-05-02

239
IMAGE DATA POST-PROCESSING METHOD FOR REDUCING QUANTIZATION EFFECT, APPARATUS THEREFOR

Park, Hyun-Wook; Lee, Yung-Lyul, 1999-05-06

240
Image encoding and decoding method based on low-complexity transformation, and apparatus using same

Kim, Munchurl; Lee, Bum Shik

241
Image optimized rolling cache system

권인소; 김영근, 2016-09-27

242
Image processing apparatuses including CNN-based in-loop filter

Kim, Munchurl

243
Image processing method and device using feature map compression

Kim, Munchurl; Kim, Yong Woo; Choi, Jae Seok

244
IMAGE PROCESSING METHOD AND DEVICE USING LINE INPUT AND OUTPUT

Kim, Munchurl; Kim, Yong Woo; Choi, Jae Seok

245
IMAGE PROCESSING METHOD AND MEDICAL IMAGING APPARATUS EMPLOYING THE METHOD

박현욱; 최준성; 한예지; 조재문, 2016-12-20

246
Image processing system and method of enhancing the quality of an ultrasound image

나종범, 2010-11-16

247
Image scaling method and apparatus using continuous domain filtering and interpolation method

Kim, Lee-Sup; Lee, Jin Aeon

248
Image sensing device and focal plane array device using frequency conversion for real-time terahertz imaging

이상국; 김선아; 최경용; 박대웅; 한석균, 2016-05-17

249
Image Sensor and X-Ray Image Sensing Module including the same

조규형; 양준혁; 김영; 성영훈; 박재철; 김창정; 김현식; et al

250
INFRARED DETECTOR

Lee, Kwyro; Kim, Min Sik; Baek, Jin hyeok; Park, Seunghyun, 2015-12-08

251
Infrared sensor chip, infrared detector and an operating method and test method therefor

나노종합기술원; 김희연; 김경민; 김병일; 경기명; 박재홍; 이귀로; et al, 2017-04-05

252
Ink jet print head and a method of producing the same

윤준보, 2002-07-23

253
Instruction decoding method for microprocessor, involves disabling buffer and decoding instruction using values read from memory when read and obtained instructions do not correspond

유회준; 우람찬, 2001-06-21

254
INTEGRATED BASE STATION FOR MANAGING MULTIPLE SIMPLIFIED RADIO ACCESS POINTS

Sung, Dan-Keun; JUNG, Byoung-Hoon; LEEM, Han-sung; KIM, Hyun-Jik; CHU, Eun-mi, 2015-06-09

255
Integrated beamforming method with intelligent reflecting surface element allocation and system therefore

Choi, Junil; Cho, Hyesang

256
INTEGRATED CIRCUIT, ELECTRONIC DEVICE INCLUDING THE SAME, AND OPERATING METHOD THEREOF

Choi, Jaehyouk; Seong, Taeho; Lee, Yongsun; Hwang, Chanwoong; Park , Hangi

257
Integrated device of cantilever and light source

Hong, Songcheol; Jeon, Sookun

258
Integrated optical-microwave phase detecting apparatus and method based on 3X3 MMI coupler

Jungwon Kim; Yu, Kyoungsik; Jeong, Youngjae; Jeon, Chan-Gi

259
Integrated thin-film solar cell and method of manufacturing the same

임굉수; 박상일; 양지환; 김상환; 이유진; 신진국; 권성원; et al, 2012-04-10

260
Integrated thin-film solar cells and method of manufacturing thereof and processing method of transparent electrode for integrated thin-film solar cells and structure thereof, and transparent substrate having processed transparent electrode

Lim, Koeng-Su; Kwon, Seong Won; Kwak, Jeong Hwan; Park, Sang Il; Yoon, Jun-Bo; Moon, Gun-Woo

261
Intelligent interpolation methods for automatic generation of an accurate digital elevation model

Seung Bum Kim; Kim, Tag-Gon

262
INTER CELL INTERFERENCE COORDINATION METHOD AND APPARATUS FOR USE IN MOBILE COMMUNICATION SYSTEM

Park, Dong-Jo; Cho, Yeonjea; Park Sanguk; Choi, Won-chui; Kwun Jonghyung

263
INTERFACE ENTRE PUCES À PLUSIEURS CANAUX, À GRANDE VITESSE ET À FAIBLE PUISSANCE UTILISANT UN GUIDE D'ONDES DIÉLECTRIQUE

Bae, Hyeon-Min; Jin, Huxian

264
Interface for optical communication using adiabatic coupling and optical fiber taper manufacturing method

Yu, Kyoungsik; Son, Gyeongho; Jeong, Youngjae

265
Intuitive real spatial aiming-based system, identification and communication methods for identifying near by devices to form a network within a region

Park, Kyu-Ho; Lee, Woo-hun; Baek, Sung-Hoon, 2010-07-06

266
Job scheduling optimization based on ratio of stall to active cycles

Seo, Woong; Cho, Yeon-Gon; Ryu, Soo-Jung; Song, Seok-Woo; Kim, John Dongjun; Lee, Min-Seok

267
Joint pattern beam sectorization method and apparatuses performing the same

길계태; 이주용; 조동호; 박대희; 윤창배; 오상민; 임한영; et al, 2018-02-13

268
Junctionless transistor based on vertically integrated gate-all-round multiple nanowire channels and method of manufacturing the same

Choi, Yang-Kyu; Lee, Byung-Hyun; Kang, Min-Ho

269
Language delay treatment system and control method for the same

송준화; 황인석; 유충국; 황찬유; 이영기; 김동준; 임동선; et al, 2018-01-23

270
LDPC decoder, operating method of LDPC decoder, and semiconductor memory system

Ha, Jeongseok; Kim, Myungin; Oh, Ji Eun

271
LDPC decoder, semiconductor memory system and operating method thereof

Moon, Jaekyun; Kang, Soon Young, 2018-05-22

272
LIGHT GUIDE PLATE AND BACKLIGHT UNIT

Yoon, Jun-Bo; Lee, Joo-Hyung; Yeon, Jeong-Ho, 2015-05-05

273
Line field emitter display

조규형; 정남성; 채균; 류태하; 홍종운; 류승탁; 김영기

274
Live video ingest system and method

Han, Dongsu; Kim, Jae Hong; Jung, Young Mok; Yeo, Hyunho

275
Localization method and system for augmented reality in mobile devices

Myung, Hyun; Jung, Kwang Yik; Youn, Pillip; Kim, Yeeun; Lim, HyunJun; Song, Seungwon

276
Long-reach wavelength division multiplexing passive optical networks by using the position adjustment of broadband light source

이창희; 이상묵; 김민환, 2009-09-29

277
LOW NOISE AMPLIFIER HAVING BOTH ULTRA-HIGH LINEARITY AND LOW NOISE CHARACTERISTIC AND RADIO RECEIVER INCLUDING THE SAME

Lee, Kwyro; Kim, Bum Kyum; Im, Dong Gu; Choi, Jae Young, 2013-11-05

278
Low Power, High Speed Multi-Channel Chip-to-Chip Interface using Dielectric Waveguide

Bae, Hyeon-Min; Jin, Huxian, 2018-10-03

279
Low Power, High Speed Multi-Channel Chip-to-Chip Interface using Dielectric Waveguide

Bae, Hyeon-Min; Jin, Huxian

280
Low Power, High Speed Multi-Channel Chip-to-Chip Interface using Dielectric Waveguide

Bae, Hyeon-Min; Jin, Huxian

281
Low-power and all-digital phase interpolator-based clock and data recovery architecture

Bae, Hyeon-Min; Yoon, Tae-Hun; Lee, Joon-Yeong, 2015-10-20

282
LOW-POWER HIGHLY-ACCURATE PASSIVE MULTIPHASE CLOCK GENERATION SCHEME BY USING POLYPHASE FILTERS

Bae, Hyeon-Min; Won, Hyo Sup; Lee, Joon Young; Park, Jin Ho; Kim, Tae Ho, 2014-07-08

283
MAC operating device and method for processing machine learning algorithm

Cho, SeongHwan; Lee, Hyuk-Jin; Kim, Kyung Hyun; Seo, Jin O

284
Magnetic resonance imaging apparatus and image processing method thereof

Park, Hyun Wook; Kim, Dong-chan; Oh, Chang-heun; Seo, Hyun-seok, 2019-04-09

285
Mass prefetching method for disk array

박규호, 2010-10-26

286
Massive antenna-based pattern/polarization beam division, multiple access method, and apparatus performing the same

IT Convergence; Gil, Gye-Tae; Cho, Dong-Ho; Lee, Ju Yong; Park, Dae Hee; Lee, Yong Hoon; Oh, Sang Min; et al, 2019-05-28

287
Material pattern, and mold, metal thin-film pattern, and methods of forming metal pattern

Yoon, Jun-Bo; Jeon, Jin-wan; Lim, Koeng-Su

288
MATERIAL PATTERN, AND MOLD, METAL THIN-FILM PATTERN, METAL PATTERN USING THEREOF, AND METHODS OF FORMING THE SAME

Jeon, Jin-Wan; Yoon, Jun-Bo; Lim, Koeng Su, 2012-10-02

289
Mechanical switch

윤준보; 송용하, 2016-04-19

290
Memory and logic device-integrated soft electronic system

Choi, Sung-Yool; Jang, Byung Chui

291
Memory array using mechanical switch and method for operating thereof

Yoon, Jun-Bo; Jang, Weon-Wi; Lee, Jeong-Oen, 2010-08-31

292
MEMS VARIABLE CAPACITOR

Yoon, Jun-Bo; HAN, Chang Hoon; CHOI, Dong Hoon; YANG, Hyun Ho, 2016-08-16

293
METHOD AND AN APPARATUS FOR PROCESSING A VIDEO SIGNAL

Byeong Moon JEON; Seung Wook PARK; Joon Young PARK; Jae Won SUNG; Park, HyunWook; Jee Hong LEE; Jin Young LEE; et al, 2014-06-10

294
Method and an apparatus for processing a video signal

박현욱; 이지홍; 전동산; 이진영; PIAO YINJI; 전병문; 박준영; et al, 2014-06-24

295
Method and an apparatus for processing a video signal

Jeon, Byeong Moon; Park, Seung Wook; Park, Joon Young; Jae Won Sung; Park, HyunWook; Lee, Jee Hong; Lee, Jin Young; et al, 2013-06-11

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0