Browse "School of Electrical Engineering(전기및전자공학부)" by Author Lee, Juhyoung

Showing results 1 to 38 of 38

1
7.7 LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.142 - 144, Institute of Electrical and Electronics Engineers Inc., 2019-02

2
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.37 - 40, Institute of Electrical and Electronics Engineers Inc., 2022-06

3
A 1.02-μW STT-MRAM-Based DNN ECG arrhythmia monitoring SoC with leakage-based delay MAC unit

Lee, Kyoung-Rog; Kim, Jihoon; Kim, Changhyeon; Han, Donghyeon; Lee, Juhyoung; Lee, Jinsu; Jeong, Hongsik; et al, IEEE SOLID-STATE CIRCUITS LETTERS, v.3, pp.390 - 393, 2020-09

4
A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory

Lee, Juhyoung; Kim, , Jihoon; Jo,Wooyoung; Kim, Sangyeob; Kim, Sangjin; Lee,Jinsu; Yoo, HoiJun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

5
A 15.2 TOPS/W CNN accelerator with similar feature skipping for face recognition in mobile devices

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Lee, Jinsu; Yoo, Hoi-Jun, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019, Institute of Electrical and Electronics Engineers Inc., 2019-05

6
A 161.6 TOPS/W Mixed-mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural Networks

Jo, Wooyoung; Kim, Sangjin; Lee, Juhyoung; Um, Soyeon; Li, Zhiyong; Yoo, Hoi-Jun, 2022 IEEE International Symposium on Circuits and Systems, ISCAS 2022, pp.365 - 369, Institute of Electrical and Electronics Engineers Inc., 2022-05

7
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

Lee, Juhyoung; Kim, Changhyeon; Choi, Sungpill; Shin, Dongjoo; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

8
A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices

Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), Institute of Electrical and Electronics Engineers Inc., 2020-10-21

9
A 99.4 fps Optical Flow Estimation Processor with Image Tiling for Action Recognition in Mobile Devices

Lee, Juhyoung; Choi, Sungpill; Lee, Jinmook; Kang, Sanghoon; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.19, no.1, pp.116 - 123, 2019-02

10
A DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.501, Institute of Electrical and Electronics Engineers Inc., 2022-06

11
A Full HD 60 fps CNN Super Resolution Processor with Selective Caching based Layer Fusion for Mobile Devices

Lee, Juhyoung; Shin, Dongjoo; Lee, Jinsu; Lee, Jinmook; Kang, Sanghoon; Yoo, Hoi-Jun, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C302 - C303, Institute of Electrical and Electronics Engineers Inc., 2019-06

12
A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices

Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1507 - 1518, 2022-04

13
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

14
(A) real-time optical flow estimation processor for action recognition in mobile devices = 모바일 기기에서의 행동인식을 위한 실시간 광류 추정 프로세서link

Lee, Juhyoung; Yoo, Hoi-Jun; et al, 한국과학기술원, 2019

15
An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks

Shin, Dongjoo; Lee, Jinmook; LEE, Jinsu; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL Chips), Cool Chips :IEEE Symposium on Low-Power and High-Speed Chips and Systems, 2017-04

16
An Energy-efficient Deep Neural Network Training Processor with Bit-slice-level Reconfigurability and Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL CHIPS), IEEE COMPUTER SOC, 2021-04

17
An Energy-Efficient Deep Reinforcement Learning FPGA Accelerator for Online Fast Adaptation with Selective Mixed-precision Re-training

Jo, Wooyoung; Lee, Juhyoung; Park, Seunghyun; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference, A-SSCC 2021, IEEE, 2021-11-07

18
An Ultra-low-power Mixed-mode Face Recognition Processor for Always-on User Authentication in Mobile Device

Kim, Ji-Hoon; Kim, Changhyeon; Kim, Kwantae; Lee, Juhyoung; Yoo, Hoi-Jun; Kim, Joo-Young, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.20, no.6, pp.499 - 509, 2020-12

19
(An) energy-efficient deep reinforcement learning processor with dual-mode weight compression and floating-point computing-in-memory = 이중방식 가중치 압축과 부동소수점 인메모리 연산 구조를 활용한 에너지 효율적 심층 강화학습 가속기link

Lee, Juhyoung; Yoo, Hoi-Jun; et al, 한국과학기술원, 2023

20
DNPU: An Energy-Efficient Deep-Learning Processor with Heterogeneous Multi-Core Architecture

Shin, Dongjoo; Lee, Jinmook; Lee, Jinsu; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.38, no.5, pp.85 - 93, 2018-09

21
DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

Kim, Sangjin; Li, Zhiyong; Um, Soyeon; Jo, Wooyoung; Ha, Sangwoo; Lee, Juhyoung; Kim, Sangyeob; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.102 - 115, 2024-01

22
ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor

Lee, Juhyoung; Kim, Jihoon; Jo, Wooyoung; Kim, Sangyeob; Kim, Sangjin; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.1, pp.99 - 107, 2022-01

23
Energy-Efficient DNN Training Processors on Micro-AI Systems

Han, Donghyeon; Kang, Sanghoon; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Open Journal of the Solid-State Circuits Society, v.2, pp.259 - 275, 2022-11

24
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Han, Donghyeon; Lee, Juhyoung; Im, Dongseok; Kim, Sangyeob; Kim, Soyeon; Ryu, Junha; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2845 - 2857, 2021-09

25
HNPU-V2: A 46.6 FPS DNN Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-08

26
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

27
LNPU: An Energy-Efficient Deep-Neural-Network Training Processor with Fine-Grained Mixed Precision

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, Hot Chips 2019: A Symposium on High-Performance Chips, HOT CHIPS, 2019-08

28
Low-power Autonomous Adaptation System with Deep Reinforcement Learning

Lee, Juhyoung; Jo, Wooyoung; Park, Seong-Wook; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.300 - 303, Institute of Electrical and Electronics Engineers Inc., 2022-06

29
OmniDRL: A 29.3 TFLOPS/W Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer

Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; Jo, Wooyoung; Han, Donghyeon; Lee, Jinsu; Yoo, Hoi-Jun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

30
OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer

Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; Jo, Wooyoung; Kim, Ji-Hoon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.4, pp.999 - 1012, 2022-04

31
PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access

Kim,Sangjin; Lee, Juhyoung; Im, Dongseok; Yoo, Hoijun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

32
PNPU: An Energy-Efficient Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Level Weight Pruning and Adaptive Input/Output/Weight Zero Skipping

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Lee, Jinmook; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE Solid-State Circuits Letters, v.4, pp.22 - 25, 2021

33
SNPU: Always-on 63.2μW Face Recognition Spike Domain Convolutional Neural Network Processor with Spike Train Decomposition and Shift-and-Accumulation Unit

Kim, Sangyeob; Yoo, Hoi-Jun; Kim, Sangjin; Um, Soyeon; Kim, Soyeon; Lee, Juhyoung, 2022 IEEE Asian Solid-State Circuits Conference, A-SSCC 2022, pp.2 - 4, Institute of Electrical and Electronics Engineers Inc., 2022-11

34
SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit

Kim, Sangyeob; Kim, Sangjin; Um, Soyeon; Kim, Soyeon; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.10, pp.2812 - 2825, 2023-10

35
SRNPU: An Energy-Efficient CNN-Based Super-Resolution Processor With Tile-Based Selective Super-Resolution in Mobile Devices

Lee, Juhyoung; Lee, Jinsu; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.10, no.3, pp.320 - 334, 2020-09

36
TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Han, Donghyeon; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1494 - 1506, 2022-04

37
Z-PIM: A Sparsity-Aware Processing-in-Memory Architecture With Fully Variable Weight Bit-Precision for Energy-Efficient Deep Neural Networks

Kim, Ji-Hoon; Lee, Juhyoung; Lee, Jinsu; Heo, Jaehoon; Kim, Joo-Young, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.4, pp.1093 - 1104, 2021-04

38
Z-PIM: An Energy-Efficient Sparsity Aware Processing-In-Memory Architecture with Fully-Variable Weight Precision

Kim, Ji-Hoon; Lee, Juhyoung; Lee, Jinsu; Yoo, Hoi-Jun; Kim, Joo-Young, 2020 IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0