Browse "School of Electrical Engineering(전기및전자공학부)" by Subject TRANSISTORS

Showing results 13 to 72 of 84

13
Characterization of high quality nitrided gate dielectric films manufactured in reduced pressure furnace for ultralarge scale integration complementary metal oxide semiconductor applications

Yoon, Giwan; Epstein, Y, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, v.145, no.5, pp.1679 - 1683, 1998-05

14
Comprehensive Analysis of Gate-Induced Drain Leakage in Vertically Stacked Nanowire FETs: Inversion-Mode Versus Junctionless Mode

Hur, Jae; Lee, Byung-Hyun; Kang, Min-Ho; Ahn, Dae-Chul; Bang, Tewook; Jeon, Seung-Bae; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.37, no.5, pp.541 - 544, 2016-05

15
Comprehensive study of a detection mechanism and optimization strategies to improve sensitivity in a nanogap-embedded biotransistor

Kim, Sung-Ho; Ahn, Jae-Hyuk; Park, Tae-Jung; Lee, Sang-Yup; Choi, Yang-Kyu, JOURNAL OF APPLIED PHYSICS, v.107, no.11, 2010-06

16
Computational Study on the Performance of Si Nanowire pMOSFETs Based on the k . p Method

Shin, Mincheol; Lee, S; Klimeck, G, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.57, no.9, pp.2274 - 2283, 2010-09

17
Concentration dependent electron distributions in heavily Si-doped GaAs

Lee, NY; Kim, Jae Eun; Park, Hae Yong; Kwak, DH; Lee, Hee Chul; Lim, H, SOLID STATE COMMUNICATIONS, v.99, no.8, pp.571 - 575, 1996-08

18
Controllable electrical and physical breakdown of poly-crystalline silicon nanowires by thermally assisted electromigration

Park, Jun-Young; Moon, Dong-Il; Seol, Myeong-Lok; Jeon, Chang-Hoon; Jeon, Gwang-Jae; Han, Jin-Woo; Kim, Choong-Ki; et al, SCIENTIFIC REPORTS, v.6, 2016-01

19
Controlled Doping of Vacancy-Containing Few-Layer MoS2 via Highly Stable Thiol-Based Molecular Chemisorption

Sim, Dong Min; Kim, Mincheol; Yim, Soonmin; Choi, Min Jae; Choi, Jaesuk; Yoo, Seunghyup; Jung, Yeon Sik, ACS NANO, v.9, no.12, pp.12115 - 12123, 2015-12

20
Design of ultrathin OLEDs having oxide -based transparent electrodes and encapsulation with sub -mm bending radius

Kim, Eungjun; Kwon, Jeonghyun; Kim, Cheolgyu; Kim, Taek-Soo; Choi, Kyung Cheol; Yoo, Seunghyup, ORGANIC ELECTRONICS, v.82, 2020-07

21
Determination of Work Function of Graphene under a Metal Electrode and Its Role in Contact Resistance

Song, Seung Min; Park, Jong Kyung; Sul, One Jae; Cho, Byung Jin, NANO LETTERS, v.12, no.8, pp.3887 - 3892, 2012-08

22
Dirac voltage tunability by Hf1-xLaxO gate dielectric composition modulation for graphene field effect devices

Oh, Joong Gun; Shin, Yunsang; Shin, Woo Cheol; Sul, Onejae; Cho, Byung Jin, APPLIED PHYSICS LETTERS, v.99, no.19, 2011-11

23
Effective Liquid-Phase Exfoliation and Sodium Ion Battery Application of MoS2 Nanosheets

Bang, Gyeong Sook; Nam, Kwan Woo; Kim, Jong Yun; Shin, Jongwoo; Choi, Jang Wook; Choi, Sung-Yool, ACS APPLIED MATERIALS & INTERFACES, v.6, no.10, pp.7084 - 7089, 2014-05

24
Effects of Back Metal on the DC and RF Characteristics of 3D Stacked InGaAs RF Device for Monolithic 3D RF Applications

Jeong, Jaeyong; Kim, Seong Kwang; Kim, Jongmin; Geum, Dae-Myeong; Kim, Sanghyeon, IEEE ELECTRON DEVICE LETTERS, v.44, no.4, pp.598 - 601, 2023-04

25
Efficient organic photomemory with photography-ready programming speed

Kim, Min-Cheol; Seong, Hyejeong; Lee, Seungwon; Kwon, Hyukyun; Im, Sung Gap; Moon, Hanul; Yoo, Seunghyup, SCIENTIFIC REPORTS, v.6, 2016-07

26
Efficient thin-film organic solar cells based on pentacene/C-60 heterojunctions

Yoo, Seunghyup; Domercq, B; Kippelen, B, APPLIED PHYSICS LETTERS, v.85, no.22, pp.5427 - 5429, 2004-11

27
Enhanced Device Performance of Germanium Nanowire Junction less (GeNW-JL) MOSFETs by Germanide Contact Formation with Ar Plasma Treatment

Yoon, Young Gwang; Kim, Tae Kyun; Hwang, In-Chan; Lee, Hyun-Seung; Hwang, Byeong Woon; Moon, Jung-Min; Seo, Yu Jin; et al, ACS APPLIED MATERIALS & INTERFACES, v.6, no.5, pp.3150 - 3155, 2014-03

28
Enhanced Electrical Properties of Metal-Organic Chemical Vapor Deposition-Grown MoS2 Thin Films through Oxygen-Assisted Defect Control

Hong, Woonggi; Park, Cheolmin; Shim, Gi Woong; Yang, Sang Yoon; Choi, Sung-Yool, ADVANCED ELECTRONIC MATERIALS, v.8, no.7, 2022-07

29
Ferroelectric Hafnia-Based M3D FeTFTs Annealed at Extremely Low Temperatures and TCAM Cells for Computing-in-Memory Applications

Joh, Hongrae; Nam, Sooji; Jung, Minhyun; Shin, Hunbeom; Cho, Sung Haeng; Jeon, Sanghun, ACS APPLIED MATERIALS & INTERFACES, v.15, no.44, pp.51339 - 51349, 2023-10

30
First Demonstration of a Logic-process Compatible Junctionless Ferroelectric FinFET Synapse for Neuromorphic Applications

Seo, Myungsoo; Kang, Min Ho; Jeon, Seung-Bae; Bae, Hagyoul; Hur, Jae; Jang, Byung Chul; Yun, Seokjung; et al, IEEE ELECTRON DEVICE LETTERS, v.39, no.9, pp.1445 - 1448, 2018-09

31
Flexible Nonvolatile Polymer Memory Array on Plastic Substrate via Initiated Chemical Vapor Deposition

Jang, Byung Chul; Seong, Hyejeong; Kim, Sung Kyu; Kim, Jong Yun; Koo, Beom Jun; Choi, Junhwan; Yang, Sang Yoon; et al, ACS APPLIED MATERIALS & INTERFACES, v.8, no.20, pp.12951 - 12958, 2016-05

32
Graphene-based photonic devices for soft hybrid optoelectronic systems

Kim, Jin Tae; Kim, Jaehyeon; Choi, Hongkyw; Choi, Choon-Gi; Choi, Sung-Yool, NANOTECHNOLOGY, v.23, no.34, 2012-08

33
H-2 High Pressure Annealed Y-Doped ZrO2 Gate Dielectric With an EOT of 0.57 nm for Ge MOSFETs

Lee, Tae In; Manh-Cuong Nguyen; Ahn, Hyunjun; 김민주; Shin, Eui Joong; Hwang, Wan Sik; Yu, Hyun-Young; et al, IEEE ELECTRON DEVICE LETTERS, v.40, no.9, pp.1350 - 1353, 2019-09

34
Hetero-structure mode space method for efficient device simulations

Shin, Mincheol, JOURNAL OF APPLIED PHYSICS, v.130, no.10, pp.104303 - 104303, 2021-09

35
Heterogeneous and Monolithic 3D Integration Technology for Mixed-Signal ICs

Jeong, Jaeyong; Geum, Dae-Myeong; Kim, SangHyeon, ELECTRONICS, v.11, no.19, 2022-10

36
High Aspect Ratio Silicon Nanowire for Stiction Immune Gate-All-Around MOSFETs

Han, Jin-Woo; Moon, Dong-Il; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.30, no.8, pp.864 - 866, 2009-08

37
High Injection Efficiency and Low-Voltage Programming in a Dopant-Segregated Schottky Barrier (DSSB) FinFET SONOS for NOR-type Flash Memory

Choi, Sung-Jin; Han, Jin-Woo; Jang, Moon-Gyu; Kim, Jin-Soo; Kim, Kwang-Hee; Lee, Gi-Sung; Oh, Jae-Sub; et al, IEEE ELECTRON DEVICE LETTERS, v.30, no.3, pp.265 - 268, 2009-03

38
High Pressure Microwave Annealing Effect on Electrical Properties of Hf (x) Zr1-x O Films near Morphotropic Phase Boundary

Jung, Minhyun; Kim, Chaeheon; Hwang, Junghyeon; Kim, Giuk; Shin, Hunbeom; Gaddam, Venkateswarlu; Jeon, Sanghun, ACS APPLIED ELECTRONIC MATERIALS, v.5, no.9, pp.4826 - 4835, 2023-08

39
High-Performance Polycrystalline Silicon TFT on the Structure of a Dopant-Segregated Schottky-Barrier Source/Drain

Choi, Sung-Jin; Han, Jin-Woo; Kim, Sung-Ho; Moon, Dong-Il; Jang, Moon-Gyu; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.31, no.3, pp.228 - 230, 2010-03

40
Highly durable and flexible memory based on resistance switching

Kim, Sung-Ho; Yarimaga, Oktay; Choi, Sung-Jin; Choi, Yang-Kyu, SOLID-STATE ELECTRONICS, v.54, no.4, pp.392 - 396, 2010-04

41
Hybrid Integration of Graphene Analog and Silicon Complementary Metal-Oxide-Semiconductor Digital Circuits

Hong, Seul Ki; Kim, Choong Sun; Hwang, Wan Sik; Cho, Byung-Jin, ACS NANO, v.10, no.7, pp.7142 - 7146, 2016-07

42
Improved optical performance of multi-layer MoS2 phototransistor with see-through metal electrode

Park, Junghak; Das, Dipjyoti; Ahn, Minho; Park, Sungho; Hur, Jihyun; Jeon, Sanghun, NANO CONVERGENCE, v.6, no.1, 2019-12

43
Improvement of Gate Dielectric Integrity Using O-2 Plasma Treatment Prior to Atomic Layer Deposition on Chemical Vapor Deposition Grown Graphene

Sul, Onejae; Bong, Jaehoon; Yoon, Alex; Cho, Byung-Jin, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, v.15, no.1, pp.220 - 223, 2015-01

44
Influence of Total Ionizing Dose on Sub-100 nm Gate-All-Around MOSFETs

Moon, Joon-Bae; Moon, Dong-Il; Choi, Yang-Kyu, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, v.61, no.3, pp.1420 - 1425, 2014-06

45
Interface-Trap Analysis by an Optically Assisted Charge-Pumping Technique in a Floating-Body Device

Kim, Sung-Ho; Choi, Sung-Jin; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.32, no.1, pp.84 - 86, 2011-01

46
Investigation of Low-Frequency Noise in Nonvolatile Memory Composed of a Gate-All-Around Junctionless Nanowire FET

Jeong, Ui-Sik; Kim, Choong-Ki; Bae, Hagyoul; Moon, Dong-Il; Bang, Tewook; Choi, Ji-Min; Hur, Jae; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.63, no.5, pp.2210 - 2213, 2016-05

47
Investigation of Self-Heating Effects in Gate-All-Around MOSFETs With Vertically Stacked Multiple Silicon Nanowire Channels

Park, Jun-Young; Lee, Byung-Hyun; Chang, Ki Soo; Kim, Dong Uk; Jeong, Chanbae; Kim, Choong-Ki; Bae, Hagyoul; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.64, no.11, pp.4393 - 4399, 2017-11

48
Large-Scale, Low-Power Nonvolatile Memory Based on Few-Layer MoS2 and Ultrathin Polymer Dielectrics

Yang, Sang Cheol; Choi, Junhwan; Jang, Byung Chul; Hong, Woonggi; Shim, Gi Woong; Yang, Sang Yoon; Im, Sung Gap; et al, ADVANCED ELECTRONIC MATERIALS, v.5, no.5, pp.1800688, 2019-05

49
Lateral profiling of gate dielectric damage by off-state stress and positive-bias temperature instability

Lee, Geon-Beom; Kim, Choong-Ki; Bang, Tewook; Yoo, Min-Soo; Choi, Yang-Kyu, MICROELECTRONICS AND RELIABILITY, v.127, pp.114383, 2021-12

50
LF Noise Analysis for Trap Recovery in Gate Oxides Using Built-In Joule Heater

Jeon, Chang-Hoon; Kim, Choong-Ki; Park, Jun-Young; Jeong,Ui-Sik; Lee, Byung-Hyun; Kim, Kyung Rok; Choi, Yang-Kyu, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.64, no.12, pp.5081 - 5086, 2017-12

51
Low-Frequency Noise Characteristics in SONOS Flash Memory With Vertically Stacked Nanowire FETs

Bang, Te-Wook; Lee, Byung-Hyun; Kim, Choong-Ki; Ahn, Dae-Chul; Jeon, Seung-Bae; Kang, Min-Ho; Oh, Jae-Sub; et al, IEEE ELECTRON DEVICE LETTERS, v.38, no.1, pp.40 - 43, 2017-01

52
Low-frequency noise characteristics of ultrathin body p-MOSFETs with molybdenum gate

Lee, JS; Ha, DW; Choi, Yang-Kyu; King, TJ; Bokor, J, IEEE ELECTRON DEVICE LETTERS, v.24, no.1, pp.31 - 33, 2003-01

53
Low-Temperature Annealing for Highly Conductive Lead Chalcogenide Quantum Dot Solids

Baik, Seung Jae; Kim, Kyungnam; Lim, Koeng Su; Jung, SoMyung; Park, Yun-Chang; Han, Dong Geon; Lim, Sooyeon; et al, JOURNAL OF PHYSICAL CHEMISTRY C, v.115, no.3, pp.607 - 612, 2011-01

54
Low-voltage nonvolatile multi-bit memory fabricated by the patterning and transferring of ferroelectric polymer film

Kim, Woo Young; Lee, Hee-Chul, ORGANIC ELECTRONICS, v.19, pp.1 - 6, 2015-04

55
Materials and Fabrication Processes for Transient and Bioresorbable High-Performance Electronics

Hwang, SW; Kim, DH; Tao, H; Kim, TI; Kim, S; Yu, KJ; Panilaitis, B; et al, ADVANCED FUNCTIONAL MATERIALS, v.23, no.33, pp.4087 - 4093, 2013-09

56
Mechanical and Electrical Reliability Analysis of Flexible Si Complementary Metal-Oxide-Semiconductor Integrated Circuit

Kim, Seungyoon; Kim, Cheolgyu; Bong, Jae Hoon; Hwang, Wan Sik; Kim, Taek-Soo; Oh, Jae Sub; Cho, Byung Jin, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, v.19, no.10, pp.6473 - 6480, 2019-10

57
Mechanically transformative electronics, sensors, and implantable devices

Byun, Sang-Hyuk; Sim, Joo Yong; Zhou, Zhanan; Lee, Juhyun; Qazi, Raza; Walicki, Marie C.; Parker, Kyle E.; et al, SCIENCE ADVANCES, v.5, no.11, pp.eaay0418, 2019-11

58
Metal-oxide-semiconductor characteristics of NH3-nitrided N2O-annealed oxides fabricated at reduced pressure

Yoon, Giwan; Epstein, Y, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.39, no.4B, pp.2045 - 2049, 2000-04

59
Monolithic integration of InP-Based HEMT and MSM photodiode using InGaAsP (lambda=1.3 mu m) buffer

Cha, JH; Kim, JH; Kim, CY; Shin, SH; Kwon, Young Se, JAPANESE JOURNAL OF APPLIED PHYSICS, v.44, no.4B, pp.2549 - 2552, 2005

60
Multiterminal Transport Measurements of Multilayer InSe Encapsulated by hBN

Choi, YiTaek; Seok, Yongwook; Jang, Hanbyeol; Kumar, Arvind Shankar; Watanabe, Kenji; Taniguchi, Takashi; Gao, Xuan P. A.; et al, ACS APPLIED ELECTRONIC MATERIALS, v.3, no.1, pp.163 - 169, 2021-01

61
Nanoscale contacts between semiconducting nanowires and metallic graphenes

Kim, Seongmin; Janes, David B.; Choi, Sung-Yool; Ju, Sanghyun, APPLIED PHYSICS LETTERS, v.101, no.6, 2012-08

62
Nonorthogonal sp(3)d(5) tight-binding parameterization of single-layer phosphorene under biaxial strain and application to FETs

Lee, Jaehyun; Seo, Jumbeom; Oh, Jung Hyun; Shin, Mincheol, NANOTECHNOLOGY, v.27, no.24, pp.245202-1 - 245202-6, 2016-05

63
On-Chip Curing by Microwave for Long Term Usage of Electronic Devices in Harsh Environments

Park, Jun-Young; Kim, Weon-Guk; Bae, Hagyoul; Jin, Ik Kyeong; Kim, Da-Jin; Im, Hwon; Tcho, Il-Woong; et al, SCIENTIFIC REPORTS, v.8, 2018-10

64
Optically Assisted Charge Pumping on Floating-Body FETs

Kim, Sung-Ho; Choi, Sung-Jin; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.31, no.12, pp.1365 - 1367, 2010-12

65
Organic light-emitting fibers and fabrics for truly wearable smart displays: Recent progress and future opportunities

Hwang, Yong Ha; Kong, Seong Uk; Kim, Chan Young; Lee, Junwoo; Cho, Ha-Eun; Jeong, So Yeong; Choi, Kyung Cheol, JOURNAL OF THE SOCIETY FOR INFORMATION DISPLAY, v.30, no.10, pp.727 - 747, 2022-10

66
Origins of genuine Ohmic van der Waals contact between indium and MoS2

Kim, Bum-Kyu; Kim, Tae-Hyung; Choi, Dong-Hwan; Kim, Hanul; Watanabe, Kenji; Taniguchi, Takashi; Rho, Heesuk; et al, NPJ 2D MATERIALS AND APPLICATIONS, v.5, no.1, pp.9, 2021-01

67
Overcoming the "retention vs. voltage'' trade-off in nonvolatile organic memory: Ag nanoparticles covered with dipolar self-assembled monolayers as robust charge storage nodes

Lee, Seungwon; Lee, Jinhwan; Lee, Hyunsoo; Yuk, Young Ji; Kim, Mincheol; Moon, Hanul; Seo, Jaewon; et al, ORGANIC ELECTRONICS, v.14, no.12, pp.3260 - 3266, 2013-12

68
PHYSICAL UNDERSTANDING OF LOW-FIELD CARRIER MOBILITY IN SILICON MOSFET INVERSION LAYER

Lee, Kwyro; CHOI, JS; SIM, SP; Kim, Choong Ki, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.38, no.8, pp.1905 - 1912, 1991-08

69
Quantum simulation of device characteristics of silicon nanowire FETs

Shin, Mincheol, IEEE TRANSACTIONS ON NANOTECHNOLOGY, v.6, no.2, pp.230 - 237, 2007-03

70
Quantum transport simulation of nanowire resonant tunneling diodes based on a Wigner function model with spatially dependent effective masses

Lee, Joon-Ho; Shin, Mincheol, IEEE TRANSACTIONS ON NANOTECHNOLOGY, v.16, no.6, pp.1028 - 1036, 2017-11

71
Sanitization of Data in Nanoscale Flash Memory by Thermal Erasing and Reuse of Storage

Park, Jun-Young; Moon, Dong-Il; Kim, Seong-Yeon; Im, Hwon; Chang, Ki Soo; Jeong, Chanbae; Choi, Yang-Kyu, PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE, v.215, no.14, 2018-07

72
Seeding atomic layer deposition of high-k dielectric on graphene with ultrathin poly(4-vinylphenol) layer for enhanced device performance and reliability

Shin, Woo Cheol; Kim, Taek Yong; Sul, Onejae; Cho, Byung Jin, APPLIED PHYSICS LETTERS, v.101, no.3, 2012-07

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0