Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 701 to 720 of 22776

701
A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder

Yan, L.; Bae, J.; Lee, S.; Kim, B.; Roh, T.; Song, K.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.490 - 491, IEEE, 2010-02-07

702
A 3.9μW, 81.3dB SNDR, DC-coupled, Time-based Neural Recording IC with Degeneration R-DAC for Bidirectional Neural Interface in 180nm CMOS

Jeon, Hyuntak; Bang, Jun-Suk; Jung, Yoontae; Lee, Taeju; Jeon, Yeseul; Koh, Seok-Tae; Choi, Jaeseok; et al, IEEE Asian Solid-State Circuits Conference, IEEE, 2018-11-07

703
A 300mA BGR-Recursive Low-Dropout Regulator Achieving 102-to-80dB PSR at Frequencies from 100Hz to 0.1MHz with Current Efficiency of 99.98%

Kim, Dong-Kyu; Kim, Hyun-Sik, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C132 - C133, The IEEE Electron Devices Society,The Japan Society of Applied Physics, 2019-06-12

704
A 300mW programmable QAM transceiver for VDSL applications

Nam, H.; Kim, T.H.; Ryu, C.H.; Kim, M.G.; Kim, H.J.; Song, Y.; Shim, J.H.; et al, IEEE International Solid-State Circuits Conference, pp.418 - 504, IEEE, 2003-02-10

705
A 30fps stereo matching processor based on belief propagation with disparity-parallel PE array architecture

Park, J.; Lee, S.; Yoo, Hoi-Jun, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.453 - 456, IEEE, 2010-05-30

706
A 31.2pJ/disparity/pixel Stereo Matching Processor with Stereo SRAM for Mobile UI Application

LEE, Jinsu; Shin, Dongjoo; Lee, Kyuho Jason; Yoo, Hoi-Jun, 2017 Symposia on VLSI Technology and Circuits, 2017 Symposia on VLSI Technology and Circuits, 2017-06

707
A 32-bit Multithreaded RISC for Embedded Real-time Application

배영돈; 박인철, 한국반도체학술대회 (KSC), pp.249 - 250, 2002-02

708
A 32.8mW 60fps Cortical Vision Processor for Spatio-Temporal Action Recognition

Park, Seongwook; Park, Junyoung; Hong, Injoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.1002 - 1005, IEEE, 2013-05-21

709
A 320mW 342GOPS real-time moving object recognition processor for HD 720p video streams

Oh, Jinwook; Kim, Gyeonghoon; Park, Junyoung; Hong, Injoon; Lee, Seungjin; Yoo, Hoi-Jun, IEEE Solid-State Circuits Conference - ISSCC 2012, pp.220 - 221, IEEE, 2012-02-21

710
A 320μV-Output Ripple and 90ns-Settling Time at 0.5V Supply Digital-Analog-Hybrid LDO Using Multi-Level Gate-Voltage Generator and Fast-Decision PD Detector

Lim, Younghyun; Lee, Jeonghyun; Lee, Yongsun; Yoo, Seyeon; Choi, Jaehyouk, 44th IEEE European Solid State Circuits Conference, ESSCIRC 2018, pp.94 - 97, Institute of Electrical and Electronics Engineers Inc., 2018-09-05

711
A 32KByte One-Time Programmable ROM with 3-Transistor Cell Standard CMOS Gate-Oxide Antifuse

Lee, K; Cha, Hyouk-Kyu; Kim, Jinbong, The 12th Korean Conference on Semiconductors, 2005

712
A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications

Lee, Seok-Hee, International Electron Devices Meeting, pp.647 - 650, 2009-12-09

713
A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition

Yoo, Hoi-Jun; Shin, Dongjoo; Hong, Injoon; Kim, Gyeonghoon, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C52 - C53, IEEE, 2015-06-17

714
A 33.2Mvertices/sec programmable geometry engine for multimedia embedded systems

Yu, C.-H.; Kim, D.; Kim, Lee-Sup, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4574 - 4577, IEEE, 2005-05-23

715
A 330MHz low-jitter and fast-locking direct skew compensation DLL

Lee, J.-H.; Han, S.-H.; Yoo, Hoi-Jun, 2000 IEEE International Solid-State Circuits Conference 47th Annual ISSCC, pp.352 - 353, IEEE, 2000-02-07

716
A 333TOPS/W Logic-Compatible Multi-Level Embedded Flash Compute-In-Memory Macro with Dual-Slope Computation

Choi, Edward Jongyoon; Choi, Injun; Lukito, Vincent; Choi, Dong-Hwi; Yi, Donghyeon; Chang, Ik-Joon; Ha, Sohmyung; et al, 2023 IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2023-04

717
A 33μW/node Duty Cycle Controlled HBC Transceiver System for Medical BAN with 64 Sensor Nodes

Yoo, Hoi-Jun; Lee, Hyungwoo; Cho, Hyunwoo, Custom Integrated Circuits Conference (CICC), pp.1 - 8, IEEE, 2014-09-15

718
A 34.1fps Scale-space Processor with Two-dimensional Cache for Real-time Object Recognition

Kim, Youchang; Park, Junyoung; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.689 - 692, IEEE, 2013-05-21

719
A 345mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition

Lee, S.; Oh, J.; Kim, M.; Park, J.; Kwon, J.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.332 - 333, IEEE, 2010-02-07

720
A 34pJ/level.pixel Depth-estimation Processor with Shifter-based Pipelined Architecture for Mobile User Interface

Choi, Sungpill; Park, Seongwook; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference 2016, IEEE, 2016-11-09

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0