Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 15861 to 15880 of 51065

15861
Effects of Phosphor Layer Morphology on Discharge Characteristics of Red, Green, and Blue Cells in AC PDP

최경철, JOURNAL OF INFORMATION DISPLAY, v.12, no.4, pp.52 - 56, 2001-12

15862
Effects of plasma emission on optical properties of phosphor layers in surface-type alternate current plasma display panel

Jang, SH; Tae, HS; Lee, JH; Choi, Kyung Cheol, JOURNAL OF APPLIED PHYSICS, v.87, no.5, pp.2073 - 2075, 2000-03

15863
EFFECTS OF POST DEPOSITION ANNEALING ON THE ELECTRICAL-PROPERTIES AND RELIABILITY OF ULTRATHIN CHEMICAL-VAPOR-DEPOSITED TA2O5 FILMS

HAN, LK; Yoon, Giwan; KWONG, DL; MATHEWS, VK; FAZAN, PC, IEEE ELECTRON DEVICE LETTERS, v.15, no.8, pp.280 - 282, 1994-08

15864
Effects of Post Metal and Forming Gas Annealing on Characteristics of Ferroelectric FinFETs with HfZrOX Gate Dielectric

Seo, Myung Soo; Kang, Min Ho; Kim, Wu Kang; Hur, Jae; Yun, Seok Jung; Kim, Hoon; Hong, Seung Bum; et al, 2018 MRS Fall Meeting & Exhibit, 2018 MRS Fall Meeting, 2018-11-28

15865
Effects of Post-Annealing on FABR Devices Performance

Yoon, Giwan; Lee, Jae-young; Linh, Mai, ICKIMICS, pp.132 - 135, 2007-07

15866
Effects of Post-Decoupled-Plasma-Nitridation Annealing of Ultra-Thin Gate Oxide

Cho, Byung Jin; Lek, CM; Loh, WY; Ang, CH; Lin, W; Tan, YL; Zhen, JZ; et al, 9th International Symp. on the Physical and Failure Analysis of Integrated Circuits (IPFA), pp.0 - 0, 2002-07-08

15867
Effects of post-implantation annealing on LWIR HgCdTe diode characteristics

Bae, SH; Kim, YH; Lee, Hee Chul; Kim, CK, Proceedings of the 1998 Conference on Infrared Technology and Applications XXIV. Part 1 (of 2), pp.104 - 109, SPIE, 1998-07-19

15868
Effects of pre-chirping on the repeaterless dispersion-managed transmission system

Jung, JH; Shin, SY; Lee, Chang-Hee, ELECTRONICS LETTERS, v.32, no.9, pp.831 - 833, 1996-04

15869
Effects of pre-reset conditions on reset discharge from ramp reset waveforms in AC plasma display panel

Shin, BJ; Choi, Kyung Cheol; Seo, JH, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.52, pp.17 - 22, 2005-01

15870
Effects of Process Variation on Signal Integrity for High Speed Differential Signaling on Package Level

Kim, Joungho; Ahn, Seungyoung; Lu, Albert Chee W.; Fan, Wei; Wai, Lai L., IEEE 4th Electronics Packaging Technology Conference, pp.249 - 252, IEEE, 2002-12

15871
Effects of Rashba and Dresselhaus spin-orbit interactions on the ground state of two-dimensional localized spins

Oh, Jung Hyun; Lee, Kyung-Jin; Lee, Hyun-Woo; Shin, Mincheol, JOURNAL OF PHYSICS-CONDENSED MATTER, v.26, no.19, pp.196005, 2014-05

15872
Effects of Rayleigh backscattering in long-reach RSOA-based WDM PON

Hong, U.H.; Cho, K.Y.; Takushima, Y.; Chung, Yun Chur, 2010 Conference on Optical Fiber Communication, Collocated National Fiber Optic Engineers Conference, OFC/NFOEC 2010, 2010 Conference on Optical Fiber Communication, Collocated National Fiber Optic Engineers Conference, OFC/NFOEC 2010, 2010-03-21

15873
Effects of red-wavelengths OLED and its in vitro differential cell effects

Na, J; Choi, Hyeryoung; Jeon, Yongmin; Choi, Seungyeop; Park, Kyoungchan; Choi, Kyung Cheol, International Investigative Dermatology 2018, Society for Investigative Dermatology, 2018-05

15874
Effects of Reflection in RSOA-Based WDM PON Utilizing Remodulation Technique

Cho, Keun-Yeong; Lee, Yong-Jik; Choi, Hyeon-Yeong; Murakami, Ayako; Agata, Akira; Takushima, Yuichi; Chung, Yun-Chur, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.27, no.10, pp.1286 - 1295, 2009-05

15875
Effects of Residual Surface Nitrogen on the Dielectric Breakdown Characteristics of Regrown Oxides

Kim, Jonghan; Joshi, AB; Yoon, Giwan; Kwong, Dim-Lee, IEEE ELECTRON DEVICE LETTERS, v.14, no.5, pp.265 - 267, 1993-05

15876
Effects of robot and computer-based intervention on learning action word symbols of AAC for children with autism spectrum disorder

Choi, Eun Jung; Kim, Young Tae; Yeon, Seok Jeong; Kim, John; Hong, Ki-Hyung, Communication Sciences and Disorders, v.21, no.4, pp.744 - 759, 2016-12

15877
Effects of Shell Thickness on Performance of GaSb/InAs Core/Shell Nanowire pMOSFETs

이현구; 신민철, 제25회 한국반도체학술대회, (사)한국반도체연구조합, 2018-02-05

15878
Effects of Si/SiO2 interface stress on the performance of ultra-thin-body field effect transistors: A first-principles study

Jung, Hyo Eun; Shin, Mincheol, NANOTECHNOLOGY, v.29, no.2, 2018-01

15879
Effects of SiO2/Si3N4 hard masks on etching properties of metal gates

Hwang, WS; Cho, Byung Jin; Chan, DSH; Bliznetsov, V; Yoo, WJ, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, v.24, no.6, pp.2689 - 2694, 2006-11

15880
Effects of SPM and PMD on Chromatic Dispersion Monitoring Techniques Using Pilot Tones

Chung, Yun Chur, Optical Fiber Communication Conference, 2003, v.86, pp.403 - 404, 2003

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0