Browse by Author Hyun, Daijoon

Showing results 1 to 14 of 14

1
Accurate Interpolation of Library Timing Parameters Through Recurrent Convolutional Neural Network

Hyun, Daijoon; Jung, Younggwang; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.43, no.1, pp.244 - 248, 2024-01

2
Airgap Insertion and Layer Reassignment under Setup and Hold Timing Constraints

Hyun, Daijoon; Jung, Younggwang; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.42, no.3, pp.987 - 999, 2023-03

3
Circuit timing optimization through selective use of airgap IMD = 메탈 간 에어갭 유전체의 선택적인 사용을 통한 회로 타이밍 최적화link

Hyun, Daijoon; Shin, Youngsoo; et al, 한국과학기술원, 2019

4
Clock tree optimization through selective airgap insertion

Hyun, Daijoon; Ponghiran, Wachirawit; Shin, Youngsoo, 18th International Symposium on Quality Electronic Design (ISQED), pp.203 - 208, IEEE Computer Society, 2017-03

5
Cut optimization for redundant via insertion in self-aligned double patterning

Song, Youngsoo; Hyun, Daijoon; Lee, Jingon; Jung, Jinwook; Shin, Youngsoo, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.6, pp.61:1 - 61:21, 2019-09

6
Decoupling Capacitor Insertion Minimizing IR-Drop Violations and Routing DRVs

Hyun, Daijoon; Jung, Younggwang; Cho, Insu; Shin, Youngsoo, 28th Asia and South Pacific Design Automation Conference (ASP-DAC 2023), pp.271 - 276, Association for Computing Machinary, Inc., 2023-01-17

7
Dynamic IR Drop Prediction Using Image-to-Image Translation Neural Network

Kwon, Yonghwi; Jung, Giyoon; Hyun, Daijoon; Shin, Youngsoo, IEEE International Symposium on Circuits and Systems (IEEE ISCAS), IEEE, 2021-05

8
Fast timing analysis of non-tree clock network with shorted wires

Yoon, Kiwon; Hyun, Daijoon; Shin, Youngsoo, Great Lakes Symposium on VLSI (GLSVLSI), pp.279 - 284, Association for Computing Machinary, Inc., 2018-05-23

9
Integrated approach of airgap insertion for circuit timing optimization

Hyun, Daijoon; Shin, Youngsoo, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.2, pp.24:1 - 24:22, 2019-03

10
Integrated Power Distribution Network Synthesis for Mixed Macro Blocks and Standard Cells

Hyun, Daijoon; Lee, Wonjae; Park, Jinhyeong; Shin, Youngsoo, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.70, no.6, pp.2211 - 2215, 2023-06

11
Power Distribution Network Optimization Using HLA-GCN for Routability Enhancement

Jung, Younggwang; Hyun, Daijoon; Choi, Soyoon; Shin, Youngsoo, 2023 International Conference on Computer-Aided Design, ICCAD2023, Institute of Electrical and Electronics Engineers, 2023-10-30

12
Routability Optimization for Extreme Aspect Ratio Design Using Convolutional Neural Network

Koh, Sunwha; Jung, Younggwang; Hyun, Daijoon; Shin, Youngsoo, IEEE International Symposium on Circuits and Systems (IEEE ISCAS), IEEE, 2021-05

13
Routability Optimization of Extreme Aspect Ratio Design Through Non-Uniform Placement Utilization and Selective Flip-Flop Stacking

Hyun, Daijoon; Koh, Sunwha; Jung, Younggwang; Kim, Taeyoung; Shin, Youngsoo, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.28, no.4, pp.1 - 19, 2023-05

14
Selection of airgap layers for circuit timing optimization

Hyun, Daijoon; Shin, Youngsoo, Conference on Design-Process-Technology Co-Optimization for Manufacturability XI, SPIE, 2017-02-26

rss_1.0 rss_2.0 atom_1.0