Browse "College of Engineering(공과대학)" by Type Patent

Showing results 2219 to 2278 of 14298

2219
Sampling기반의 DNA 데이터 보상 알고리즘

유창동; 이상혁

2220
SBT 강유전체 박막의 제조방법

김호기; 이원재; 윤순길; 안준형

2221
Scanner for two-dimensional optical scanning, manufacturing method thereof, and medical imaging apparatus using the same

정기훈; 서영현; 박현철; 황경민, 2017-12-05

2222
Scheduled power-saving method in IEEE 802.16e system

Kang, Min Ho; Kim, Min Gon, 2011-11-01

2223
Scheduling method and apparatus of wireless communication system

Kim, Yohan; Chong, Song; Kim, Eunyong; Jeon, Joseph; Choi, Okyoung; Lee, Changsik, 2019-07-16

2224
Schottky contact-based light detection device and light detection method using same

Yu, Kyoungsik; Jin, Yeong Hoon; Kim, Hyung Suk; Yoo, Seunghyup

2225
SDN 및 NFV를 이용한 도로 교통 네트워크의 보안 서비스 제공 시스템 및 그 방법

신승원; 우승원; 김연근

2226
SDN 웹 인터페이스에 대한 CSRF 취약점을 탐지하는 방법 및 장치

손수엘; 위성일

2227
Sealed high-density on-line measuring device

장용근, 2001-05-29

2228
Sealed-type remote pressure-monitoring device and method for fabricating the same

Yoon, Jun-Bo; Yoon, Euisik; Park, Eun-Chul, 2001-09-11

2229
Sealed-type remote pressure-monitoring device and method for fabricating the same

Park, Eun-Chul; Yoon, Jun-Bo; Yoon, Euisik, 2003-02-11

2230
Secure communication using non-systematic error control codes

Steven William McLaughlin; Demijan Klinc; Ha Jeongseok, 2014-03-04

2231
SECURITY MANAGEMENT METHOD AND APPARATUS IN MULTIMEDIA MIDDLEWARE, AND STORAGE MEDIUM THEREFOR

김문철; 헨드리; 송영주; 정기호, 2012-10-31

2232
SECURITY MANAGEMENT METHOD AND APPARATUS IN MULTIMEDIA MIDDLEWARE, AND STORAGE MEDIUM THEREFOR

Kim, Munchurl; HENDRY, Hendry; SONG, Young-Joo; JUNG, Ki-Ho

2233
SEE-THROUGH-TYPE INTEGRATED THIN-FILM SOLAR CELL, METHOD OF MANUFACTURING THE SAME AND METHOD OF ELECTRICALLY SERIES CONNECTING UNIT CELLS THEREOF

Lim, Koeng Su; Kwon, Seong Won; Kwak, Joong Hwan; Yang, Ji Hwan; Park, Sang Il; Kim, Sang Hwan; Lee, Yoo Jin; et al, 2013-05-28

2234
Selective attention method using neural network

Lee, Soo-Young; Park, Ki Young

2235
Selective infiltration manufacturing method and apparatus to fabricate prototypes and moulds by infiltrating molten droplets selectively into layers of powder

양동열, 2001-05-29

2236
Self-calibrating apparatus and method in a mobile transceiver

Lee, Kwy-Ro; Choi, Pil Soon; Son, Mi-hyun; Lee, Seong-soo

2237
Self-diagnostic accelerometer with symmetric proof-mass and its preparation method

Cho, Young-Ho; Kwak, Byung Man; Lee, Kwyro,Park; Park, Kwanhum, 1999-07-27

2238
Self-diagnostic accelerometer with symmetric proof-mass and its preparation method

Cho, Young-Ho; Kwak, Byung Man; Lee, Kwyro; Park, Kwanhum, 1998-07-07

2239
Self-exposure method for surface of conductive particles anchored in polymer layer, method of fabricating anisotropic conductive film using the self-exposure method and the anisotropic conductive film

Paik, Kyung-Wook; Yoon, Dal Jin

2240
Self-repairing digital device with real-time circuit switching inspired by attractor-conversion characteristics of a cancer cell

Cho, Kwang-Hyun; Yang, Isaak, 2018-11-27

2241
Self-reparable digital device for multiple faults based on biological attractor concepts

조광현; 양이삭; 정성훈, 2017-10-03

2242
SEMANTIC SEARCH SYSTEM USING SEMANTIC RANKING SCHEME

Chung, Chin-Wan; Lee, Ji- Hyun, 2013-03-19

2243
SEMCELL

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-05-07

2244
SEMCELL

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-08-09

2245
SEMCELL

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-08-09

2246
SEMCELL

조영호; 김상진; 오용수; 이동명; 이창용; 강성구

2247
SEMCELL 로고

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-08-09

2248
SEMCELL 로고

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-08-09

2249
SEMCELL 로고

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-03-19

2250
SEMCELL 로고

조영호; 김상진; 오용수; 이동명; 이창용; 강성구, 2010-03-19

2251
Semiconductor device

Shin, Youngsoo; Seo, Jae-Woo; Jung, Jinwook

2252
Semiconductor device

Seo, Jae-Woo; Shin, Youngsoo; Jung, Jinwook

2253
SEMICONDUCTOR DEVICE

Shin, Youngsoo; Seo, Jae-Woo; Jung, JinWook

2254
SEMICONDUCTOR DEVICE

Shin, Youngsoo; Seo, Jae-Woo; Jung, JinWook

2255
Semiconductor device and semiconductor logic device

Park, Byong-Guk; Baek, Seung Heon; Park, Kyung Woong, 2019-07-23

2256
Semiconductor device and semiconductor logic device

Park, Byong-Guk; Baek, Seung Heon; Park, Kyung Woong

2257
Semiconductor device and semiconductor logic device

Park, Byong-Guk; Baek, Seung Heon; Park, Kyung Woong

2258
Semiconductor device and semiconductor logic device

박병국; 백승헌; 박경웅

2259
SEMICONDUCTOR DEVICE HAVING JUNCTIONLESS VERTICAL GATE TRANSISTOR AND METHOD OF MANUFACTURING THE SAME

Moon, Jung-Min; Kim, Tae-Kyun; Lee, Seok-Hee, 2015-09-15

2260
Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same

Moon, Jung-Min; Kim, Tae-Kyun; Lee, Seok-Hee, 2019-07-23

2261
SEMICONDUCTOR DEVICE INCLUDING A GATE PITCH AND AN INTERCONNECTION LINE PITCH AND A METHOD FOR MANUFACTURING THE SAME

Shin, Youngsoo; Seo, Jae-Woo

2262
SEMICONDUCTOR DEVICE PERFORMING A MULTIPLICATION AND ACCUMULATION OPERATION

Cho, SeongHwan; Seo, Jin-O; Lee, Hyuk-Jin

2263
Semiconductor device, semiconductor device control method and optical switch

Park, Byong-Guk; Kim, Dong Jun; Jeon, Chul Yeon, 2019-04-30

2264
Semiconductor devices and its manufacture method with junctionless vertical gate transistor

이석희; 문정민; 김태균, 2017-05-31

2265
Semiconductor element, method for fabricating the same, and semiconductor device including the same

조병진; 안현준; 문정민, 2016-09-20

2266
Semiconductor package and semiconductor apparatus

Lee, Bong Jae; Lee, Eung chang; Kang, Heeyoub; Yang, Haejung; Oh, Youngrok; Lee, Kitaek

2267
Semiconductor Photocatalyst Coated with Graphitic Carbon Film and Method of Fabricating the Same

Kang, Jeung Ku; LEE, Dong-Ki; HAN, Kyu-Sung; SHIN, Weon-Ho; LEE. Jung-Woo; CHOI, Jung-Hoon; CHOI, Kyung-Min; et al, 2015-03-24

2268
SENSOR COORDINATE CALIBRATION IN AN ULTRASOUND SYSTEM

나종범; 현동규; 이덕운; 남우현, 2015-07-14

2269
Sensor for measuring distance and method for measuring distance using the sensor

홍성철, 2009-10-06

2270
SEPARATION CONTAINER FOR CONTINUOUSLY SEPARATING PARTICLE/LAYER AND METHOD FOR SEPARATING PARTICLE/LAYER BY USING SAME

조영호; 강윤태; 오애경, 2018-09-12

2271
Separation membrane complex and redox flow battery

Kim, Hee-Tak; Choi, Chanyoung; Choi, Jaeho; Jung, Min Suk; Kim, Hye Seon; Park, Sang Sun; Kang, Taehyuk

2272
Series-fed E-shaped patch antenna array with co-polarized parasitic patches

Park, Chul Soon; Jang, Tae-Hwan; Kim, Hong-Yi, 2019-03-05

2273
Server apparatus and method for content delivery based on content-aware neural network

한동수; 여현호; 도성현

2274
Server, system, method and recording medium for searching for missing children using mobile crowdsourcing

Song, Junehwa; Shin, Hyojeong; Park, Taiwoo; Kang, Seungwoo; Lee, Bupjae; Chon, Yohan, 2018-12-25

2275
Service method and system using instance interface of virtualization object in internet of things environment

최준균; 양진홍; 박효진, 2016-08-31

2276
Service method and system using instance interface of virtualization object in internet of things environment

Choi, Jun Kyun; Yang, Jin Hong; Park, Hyo Jin, 2016-08-31

2277
Service method and system using instance interface of virtualization object in internet of things environment

Choi, Jun Kyun; Yang, Jin Hong; Park, Hyo Jin, 2016-08-31

2278
Service method and system using instance interface of virtualization object in internet of things environment

Choi, Jun Kyun; Yang, Jin Hong; Park, Hyo Jin, 2016-08-31

rss_1.0 rss_2.0 atom_1.0