Browse "EE-Journal Papers(저널논문)" by Author Han, Donghyeon

Showing results 1 to 28 of 28

1
A 0.22-0.89 mW Low-Power and Highly-Secure Always-On Face Recognition Processor With Adversarial Attack Prevention

Kim, Youngwoo; Han, Donghyeon; Kim, Changhyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.5, pp.846 - 850, 2020-05

2
A 1.02-μW STT-MRAM-Based DNN ECG arrhythmia monitoring SoC with leakage-based delay MAC unit

Lee, Kyoung-Rog; Kim, Jihoon; Kim, Changhyeon; Han, Donghyeon; Lee, Juhyoung; Lee, Jinsu; Jeong, Hongsik; et al, IEEE SOLID-STATE CIRCUITS LETTERS, v.3, pp.390 - 393, 2020-09

3
A 1.15 TOPS/W Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment

Park, Gwangtae; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.9, pp.1594 - 1598, 2020-09

4
A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array

Ha, Sangwoo; Kim, Sangjin; Han, Donghyeon; Um, Soyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2433 - 2437, 2022-05

5
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2443 - 2447, 2022-05

6
A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network

Kim, Soyeon; Kim, Sangjin; Kim, Sangyeob; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1675 - 1679, 2021-05

7
A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.1, pp.115 - 123, 2018-01

8
A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.66, no.5, pp.1794 - 1804, 2019-05

9
A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation

Im, Dongseok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE MICRO, v.43, no.3, pp.74 - 82, 2023-05

10
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

11
A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction

Im, Dongseok; Han, Donghyeon; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.2, pp.661 - 670, 2022-02

12
An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache

Li, Zhiyong; Kim, Sangjin; Im, DongSeok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.3, pp.614 - 623, 2023-03

13
An Energy-Efficient GAN Accelerator With On-Chip Training for Domain-Specific Optimization

Kim, Soyeon; Kang, Sanghoon; Han, Donghyeon; Kim, Sangjin; Kim, Sangyeob; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.10, pp.2968 - 2980, 2021-10

14
An Energy-Efficient Sparse Deep-Neural-Network Learning Accelerator with Fine-Grained Mixed Precision of FP8–FP16

Lee,Jinsu; LEE, JUHYOUNG; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, IEEE Solid-State Circuits Letters, v.2, no.11, pp.232 - 235, 2019-11

15
An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations

Kang, Sanghoon; Park, Gwangtae; Kim, Sangjin; Kim, Soyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.11, no.4, pp.634 - 648, 2021-12

16
C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture

Kim, Sangyeob; Kim, Soyeon; Hong, Seongyon; Kim, Sangjin; Han, Donghyeon; Choi, Jiwon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.157 - 172, 2024-01

17
CNNP-v2: A Memory-Centric Architecture for Low-Power CNN Processor on Domain-Specific Mobile Devices

Choi, Sungpill; Bong, Kyeongryeol; Han, Donghyeon; You, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.9, no.4, pp.598 - 611, 2019-12

18
DF-LNPU: A Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning

Han, Donghyeon; Lee, Jinsu; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.5, pp.1630 - 1640, 2021-05

19
DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.1, pp.177 - 188, 2023-01

20
DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation

Im, Dongseok; Han, Donghyeon; Choi, Sungpill; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.10, pp.3471 - 3483, 2020-10

21
DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

Kim, Sangjin; Li, Zhiyong; Um, Soyeon; Jo, Wooyoung; Ha, Sangwoo; Lee, Juhyoung; Kim, Sangyeob; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.102 - 115, 2024-01

22
Energy-Efficient DNN Training Processors on Micro-AI Systems

Han, Donghyeon; Kang, Sanghoon; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Open Journal of the Solid-State Circuits Society, v.2, pp.259 - 275, 2022-11

23
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Han, Donghyeon; Lee, Juhyoung; Im, Dongseok; Kim, Sangyeob; Kim, Soyeon; Ryu, Junha; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2845 - 2857, 2021-09

24
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

25
MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing

Han, Donghyeon; Ryu, Junha; Kim, Sangyeob; Kim, Sangjin; Park, Jongjun; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.65 - 78, 2024-01

26
OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer

Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; Jo, Wooyoung; Kim, Ji-Hoon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.4, pp.999 - 1012, 2022-04

27
The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices

Lee, Jinsu; Kang, Sanghoon; Lee, Jinmook; Shin, Dongjoo; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.10, pp.3458 - 3470, 2020-10

28
TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Han, Donghyeon; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1494 - 1506, 2022-04

Discover

Type

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0