Browse "EE-Conference Papers(학술회의논문)" by Author Shin, Dongjoo

Showing results 1 to 21 of 21

1
A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

Kim, Youchang; Shin, Dongjoo; Lee, Jin Su; Lee, Yongsu; Yoo, Hoi-Jun, 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp.258 - U357, IEEE, 2016-02-02

2
A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots

Yoo, Hoi Jun; Kim, Youchang; Shin, Dongjoo; Lee, Jinsu, IEEE Symposium on Low-Power and High-Speed Chips, IEEE, 2016-04

3
A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multicore Processor With Neural Network NoC for HMD Applications

Kim, Gyeonghoon; Kim, Youchang; Lee, Kyuho; Park, Seongwook; Hong, Injoon; Bong, Kyeongyeol; Shin, Dongjoo; et al, 2014 IEEE International Solid-State Circuits Conference, pp.182 - 184, IEEE, 2014-02-11

4
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

Shin, Dongjoo; Kim, Youchang; Yoo, Hoi-Jun, 30th IEEE International System on Chip Conference, SOCC 2017, pp.138 - 142, IEEE Computer Society, 2017-09

5
A 1.93 TOPS/W Scalable Deep Learning/Inference Processor with Tetra-parallel MIMD Architecture for Big Data Applications

Yoo, Hoi-Jun; Park, Seongwook; Bong, Kyeongryeol; Shin, Dongjoo; Lee, Jinmook; Choi, Sungpill, IEEE International Solid- State Circuits Conference, pp.80 - 81, IEEE, 2015-02-23

6
A 17.5 fJ/bit Energy-efficient Analog SRAM for Mixed-signal Processing

Yoo, Hoi Jun; Lee, Jinsu; Shin, Dongjoo; Kim, Youchang, IEEE International Symposium on Circuit and Systems, IEEE, 2016-05

7
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management

Roh, Taehwan; Song, Kiseok; Cho, Hyunwoo; Shin, Dongjoo; Ha, Unsoo; Lee, Kwonjoon; Yoo, Hoi-Jun, 2014 IEEE International Solid-State Circuits Conference, IEEE, 2014-02-11

8
A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression

Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Choi, Sungpill; Kim, Youngwoo; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.136 - 138, Institute of Electrical and Electronics Engineers Inc., 2019-02

9
A 2.71nJ/Pixel 3D-Stacked Gaze-Activated Object-Recognition System for Low-Power Mobile HMD Applications

Yoo, Hoi-Jun; Hong, In-Joon; Bong, Kyeongryeol; Shin, Dongjoo; Park, Seongwook; Lee, Kyuho; Kim, Youchang, IEEE International Solid- State Circuits Conference, pp.326 - 327, IEEE, 2015-02-25

10
A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications

Lee, Jinmook; Shin, Dongjoo; Yoo, Hoi-Jun, 13th IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.237 - 240, IEEE Asian Solid-State Circuits Conference 2017, 2017-11

11
A 31.2pJ/disparity/pixel Stereo Matching Processor with Stereo SRAM for Mobile UI Application

LEE, Jinsu; Shin, Dongjoo; Lee, Kyuho Jason; Yoo, Hoi-Jun, 2017 Symposia on VLSI Technology and Circuits, 2017 Symposia on VLSI Technology and Circuits, 2017-06

12
A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition

Yoo, Hoi-Jun; Shin, Dongjoo; Hong, Injoon; Kim, Gyeonghoon, IEEE Symposium on VLSI Circuits (VLSI Circuits), pp.C52 - C53, IEEE, 2015-06-17

13
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

Lee, Juhyoung; Kim, Changhyeon; Choi, Sungpill; Shin, Dongjoo; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

14
A Full HD 60 fps CNN Super Resolution Processor with Selective Caching based Layer Fusion for Mobile Devices

Lee, Juhyoung; Shin, Dongjoo; Lee, Jinsu; Lee, Jinmook; Kang, Sanghoon; Yoo, Hoi-Jun, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C302 - C303, Institute of Electrical and Electronics Engineers Inc., 2019-06

15
A Task-level Pipelined Many-SIMD Augmented Reality Processor with Congestion-aware Network-on-Chip Scheduler

Kim, Gyeonghoon; Park, Seongwook; Lee, Kyuho; Kim, Youchang; Hong, Injoon; Bong, Kyeongryeol; Shin, Dongjoo; et al, 2014 IEEE Symposium on Low-Power and High-Speed Chips, IEEEE, 2014-04-16

16
An 1.92mW Feature Reuse Engine based on Inter-frame Similarity for Low-power Object Recognition in Video Frames

Shin, Dongjoo; Hong, Injoon; Yoo, Hoi-Jun, 2014 IEEE International Symposium on Circuits and Systems(ISCAS), pp.758 - 761, IEEE, 2014-06-02

17
An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks

Shin, Dongjoo; Lee, Jinmook; LEE, Jinsu; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL Chips), Cool Chips :IEEE Symposium on Low-Power and High-Speed Chips and Systems, 2017-04

18
An Energy-Efficient Unified Deep Neural Network Accelerator with Fully-Variable Weight Precision for Mobile Deep Learning Applications

Lee, Jinmook; Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Kim, Sangyeob; Yoo, Hoi-Jun, Hot Chips: A Symposium on High Performance Chips, Hot Chips: A Symposium on High Performance Chips, 2018-08

19
DNPU: An 8.1TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks

Shin, Dongjoo; Lee, Jinmook; Lee, Jinsu; Yoo, Hoi-Jun, 64th IEEE International Solid-State Circuits Conference (ISSCC), pp.240 - 242, IEEE, 2017-02

20
DNPU: An Energy-Efficient Deep Neural Network Processor with On-Chip Stereo Matching

Shin, Dongjoo; Yoo, Hoi-Jun, Hot Chips: A Symposium on High Performance Chips, Hot Chips: A Symposium on High Performance Chips, 2017-08

21
UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision

Lee, Jinmook; Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Kim, Sangyeob; Yoo, Hoi-Jun, IEEE Internatioal Solid-State Circuits Conference, IEEE Internatioal Solid-State Circuits Conference, 2018-02

rss_1.0 rss_2.0 atom_1.0