Large marginal 2D self-aligned via patterning for sub-5nm technology

Cited 2 time in webofscience Cited 0 time in scopus
  • Hit : 505
  • Download : 0
Conventional via patterning which relies on immersion ArF (iArF) lithography and self-aligned via (SAV) becomes challenging in sub-7nm technology. EUV lithography (EUVL) is expected to achieve smaller feature patterning thanks to its short wave length, but edge placement error (EPE) margin remains as another bottleneck of pitch scaling; SAV can be aligned with metal on the top but not with the bottom of the via. Literary study shows previous work on 2D self-aligned via (2D SAV) which can be aligned with the both metals, but it cannot extend technology scaling beyond sub-5nm whose minimum metal pitch is expected as sub-20nm due to essential limitation of EPE margin. We propose large marginal 2D SAV which has three times large EPE margin than normal 2D SAV for extremely shrunk technology node (e.g. sub-5nm). Large marginal 2D SAV may allow further feature size scaling, but it requires four EUV masks. Therefore, we present two count reduction methods and corresponding mask decompositions and pattern re-targetings. Proposed re-targeted patterns are assessed by source mask optimization (SMO) in terms of maximum EPE and process variation band (PVB) width.
Publisher
SPIE
Issue Date
2017-02-26
Language
English
Citation

SPIE Advanced Lithography

ISSN
0277-786X
DOI
10.1117/12.2257924
URI
http://hdl.handle.net/10203/214687
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 2 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0