Researcher Page

사진
Shin, Youngsoo (신영수)
교수, (전기및전자공학부)
Research Area
VLSI CAD, Low-power, DFM (Design for Manufacturability), Computational Lithography, Neuromorphic circuit
Co-researchers
    Similar researchers

    Keyword Cloud

    Reload 더보기
    NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
    1
    Accurate Interpolation of Library Timing Parameters Through Recurrent Convolutional Neural Network

    Hyun, Daijoon; Jung, Younggwang; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.43, no.1, pp.244 - 248, 2024-01

    2
    Fast Optical Proximity Correction Using Graph Convolutional Network With Autoencoders

    Cho, Gangmin; Kim, Taeyoung; Shin, Youngsoo, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.36, no.4, pp.629 - 635, 2023-11

    3
    Integrated Power Distribution Network Synthesis for Mixed Macro Blocks and Standard Cells

    Hyun, Daijoon; Lee, Wonjae; Park, Jinhyeong; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.70, no.6, pp.2211 - 2215, 2023-06

    4
    Routability Optimization of Extreme Aspect Ratio Design Through Non-Uniform Placement Utilization and Selective Flip-Flop Stacking

    Hyun, Daijoon; Koh, Sunwha; Jung, Younggwang; et al, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.28, no.4, pp.1 - 19, 2023-05

    5
    Calibration of Compact Resist Model Through CNN Training

    Kwon, Yonghwi; Shin, Youngsoo, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.36, no.2, pp.180 - 187, 2023-05

    6
    Airgap Insertion and Layer Reassignment under Setup and Hold Timing Constraints

    Hyun, Daijoon; Jung, Younggwang; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.42, no.3, pp.987 - 999, 2023-03

    7
    Integrated Test Pattern Extraction and Generation for Accurate Lithography Modeling

    Cho, Gangmin; Kwon, Yonghwi; Kareem, Pervaiz; et al, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.35, no.3, pp.495 - 503, 2022-08

    8
    Optical Proximity Correction Using Bidirectional Recurrent Neural Network With Attention Mechanism

    Kwon, Yonghwi; Shin, Youngsoo, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.34, no.2, pp.168 - 176, 2021-05

    9
    Synthesis of Lithography Test Patterns Using Machine Learning Model

    Kareem, Pervaiz; Shin, Youngsoo, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.34, no.1, pp.49 - 57, 2021-02

    10
    Computational lithography using machine learning models

    Shin, Youngsoo, IPSJ Transactions on System LSI Design Methodology, v.14, pp.2 - 10, 2021-02

    11
    Layout Pattern Synthesis for Lithography Optimizations

    Kareem, Pervaiz; Kwon, Yonghwi; Shin, Youngsoo, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, v.33, no.2, pp.283 - 290, 2020-05

    12
    Selective use of stitch-induced via for V0 mask reduction: standard cell design and placement optimization

    Hyun, Dai Joon; Jung, Younggwang; Shin, Youngsoo, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E102.A, no.12, pp.1711 - 1719, 2019-12

    13
    Cut optimization for redundant via insertion in self-aligned double patterning

    Song, Youngsoo; Hyun, Daijoon; Lee, Jingon; et al, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.6, pp.61:1 - 61:21, 2019-09

    14
    Neural network classifier-based OPC with imbalanced training data

    Choi, Suhyeong; Shim, Seongbo; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.38, no.5, pp.938 - 948, 2019-05

    15
    Integrated latch placement and cloning for timing optimization

    Jung, Jinwook; Nam, Gi-Joon; Chung, Woohyun; et al, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.2, pp.22:1 - 22:17, 2019-03

    16
    Integrated approach of airgap insertion for circuit timing optimization

    Hyun, Daijoon; Shin, Youngsoo, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.2, pp.24:1 - 24:22, 2019-03

    17
    OWARU: free space-aware timing-driven incremental placement with critical path smoothing

    Jung, Jinwook; Nam, Gijoon; Reddy, Lakshmi; et al, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.37, no.9, pp.1825 - 1838, 2018-09

    18
    Folded circuit synthesis: min-area logic synthesis using dual-edge-triggered flip-flops

    Han, Inhak; Shin, Youngsoo, ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.23, no.5, pp.61:1 - 61:21, 2018-08

    19
    Electrothermal Analysis With Nonconvective Boundary Conditions

    Choi, Suhyeong; Shim, Seongbo; Shin, Youngsoo, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.65, no.8, pp.1044 - 1048, 2018-08

    20
    Recap of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC)

    Shin, Youngsoo, IEEE DESIGN & TEST, v.35, no.3, pp.100 - 101, 2018-05

    Load more items
    Loading...

    rss_1.0 rss_2.0 atom_1.0