A Thermal Margin Preservation Scheme for Interactive Multimedia Consumer Electronics

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 202
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorBadano, Nicolasko
dc.contributor.authorWoo, Youngjooko
dc.contributor.authorHwang, Jeahoko
dc.contributor.authorSeo, Euiseongko
dc.date.accessioned2016-07-06T04:26:29Z-
dc.date.available2016-07-06T04:26:29Z-
dc.date.created2016-06-08-
dc.date.created2016-06-08-
dc.date.issued2016-02-
dc.identifier.citationIEEE TRANSACTIONS ON CONSUMER ELECTRONICS, v.62, no.1, pp.53 - 61-
dc.identifier.issn0098-3063-
dc.identifier.urihttp://hdl.handle.net/10203/209562-
dc.description.abstractThe heterogeneous multicore architecture is considered a cogent solution to match the performance demand for processing the next-generation media formats such as ultra-high definition, 3D or holography. However, the performance cores in a heterogeneous multicore processor dissipate a huge amount of heat. To cope with the thermal risk, most modern embedded processors provide the dynamic thermal management (DTM) feature that forcefully reduces the clock speed of the processors. Although this simple approach can maintain the system temperature below the thermal trip point, the performance of prioritized multimedia or interactive applications can be significantly harmed by the reduced performance even when the thermal crisis is caused mostly by the non-prioritized applications. This paper proposes a novel DTM scheme called Thermal Margin Preservation (TMP). TMP differentiates the thermal trip point for the prioritized applications from that for the non-prioritized ones, and thus forms the thermal margin, which is the temperature gap between the two trip points. Under the proposed scheme, the prioritized applications can run without any disturbance in the thermal margin by sacrificing the performance only of the non-prioritized applications. The evaluation shows that the proposed scheme significantly reduces the quality-of-service degradation for video playback under high temperature conditions(1)-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectMANAGEMENT-
dc.subjectARCHITECTURE-
dc.subjectDEVICES-
dc.titleA Thermal Margin Preservation Scheme for Interactive Multimedia Consumer Electronics-
dc.typeArticle-
dc.identifier.wosid000374405800008-
dc.identifier.scopusid2-s2.0-84964407590-
dc.type.rimsART-
dc.citation.volume62-
dc.citation.issue1-
dc.citation.beginningpage53-
dc.citation.endingpage61-
dc.citation.publicationnameIEEE TRANSACTIONS ON CONSUMER ELECTRONICS-
dc.identifier.doi10.1109/TCE.2016.7448563-
dc.contributor.nonIdAuthorBadano, Nicolas-
dc.contributor.nonIdAuthorWoo, Youngjoo-
dc.contributor.nonIdAuthorSeo, Euiseong-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorDynamic thermal management-
dc.subject.keywordAuthorTemperature-
dc.subject.keywordAuthorThermal model-
dc.subject.keywordAuthorHeterogeneous multicore-
dc.subject.keywordPlusMANAGEMENT-
dc.subject.keywordPlusARCHITECTURE-
dc.subject.keywordPlusDEVICES-
Appears in Collection
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0