Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 20521 to 20540 of 51627

20521
High speed decoding of context-based adaptive binary arithmetic codes using most probable symbol prediction

Kim, C.-H.; Park, In-Cheol, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.1707 - 1710, IEEE, 2006-05-21

20522
High Speed Differential Voltage Clamped Current Mode Ring Oscillator

Cho, Gyu-Hyeong, ELECTRONICS LETTERS, v.33, no.13, pp.1102 - 1103, 1997-06

20523
High speed flash memory and 1T-DRAM on dopant segregated schottky barrier (DSSB) FinFET SONOS device for multi-functional SoC applications

Choi, S.-J.; Han, J.-W.; Kim, S.; Kim, D.-H.; Jang, M.-G.; Yang, J.-H.; Kim, J.S.; et al, 2008 IEEE International Electron Devices Meeting, IEDM 2008, IEEE, 2008-12-15

20524
High Speed Flash Memory by a Dopant-Segregated Schottky-Barrier MOSFET

최양규; 장문규; 최성진, 한국물리학회, 한국물리학회, 2009-10-21

20525
High speed imaging with wide field-of-view laser scanning for a MEMS based LiDAR

Choudhury Pallab; Lee, Chang-Hee, Asia Communication and Photonics Conference, pp.T1F5, Asia Communication and Photonics Conference, 2019-11-02

20526
High speed imaging with wide field-of-view laser scanning for a MEMS mirror-based lidar

Choudhury, Pallab K.; Lee, Chang-Hee, Asia Communications and Photonics Conference, ACPC_2019, OSA - The Optical Society, 2019-11

20527
High speed implementation of signal space detector

Moon, Jaekyun, Joint INTERMAG/MMM Conference, pp.0 - 0, 1998-01-08

20528
High speed implementation of signal space detectors

Jeon, T; Moon, Jaekyun, IEEE TRANSACTIONS ON MAGNETICS, v.34, no.4, pp.1925 - 1927, 1998-07

20529
High speed interfaces for chip-to-chip communication = 칩간 통신을 위한 고속 인터페이스 연구link

Yang, Jeong-Sik; 양정식; et al, 한국과학기술원, 2002

20530
High speed latchup resistant CMOS data output buffer for submicrometre DRAM application

Yoo, Hoi-Jun, ELECTRONICS LETTERS, v.32, no.24, pp.2229 - 2230, 1996-11

20531
High speed low power pipelined SAR ADC with current-mode back-end processing = 전류모드 프로세싱을 이용한 고속 저전력 파이프라인 축차 비교형 아날로그-디지털 변환기link

Moon, Kyoung-Jun; Ryu, Seung-Tak; et al, 한국과학기술원, 2019

20532
High speed MEMS scanning mirror with vertical combdrive

Wada, H.; Lee, D.; Yu, Kyoungsik; Krishnamoorthy; Zappe, S.; Solgaard, O., International Conference on Optics-Photonics Design and Fabrication, International Conference on Optics-Photonics Design and Fabrication, 2002

20533
High speed monolithically integrated p-i-n/HBT photoreceivers

Syao, KC; GutierrezAitken, AL; Yang, Kyounghoon; Zhang, XK; Haddad, GI; Bhattacharya, PK, IEICE TRANSACTIONS ON ELECTRONICS, v.E80C, no.5, pp.695 - 702, 1997-05

20534
High speed motion estimating method for real time moving image coding and apparatus therefor

나종범; 임경원, 2002-04-23

20535
High speed motion estimating method for real time moving image coding and apparatus therefor

나종범; 임경원; 최건영, 2001-06-06

20536
High speed MQW electroabsorption modulator and its RF photonics applications = 초고속 양자우물구조의 전계흡수형 광변조기와 무선광통신의 응용link

Shin, Myung-Hun; 신명훈; et al, 한국과학기술원, 2000

20537
High speed network traffic capture and analysis = 고속 네트워크 트래픽 수집 및 분석link

Lee, Jihyung; 이지형; Yi, Yung; 이융; et al, 한국과학기술원, 2016

20538
High speed PMG Containment Study for VSCF System

Youn, Myung Joong, NAECON 1981, pp.971 - 977, 1981-05

20539
High Speed Register File DRAM(RFDRAM) with 2 Pages of Register Files for Embedded Memory Logic

유회준; 한선호; 곽철호; 원영걸, 한국 반도체 학술 대회, pp.395 - 396, 1998

20540
High Speed Registor File DRAM with Two Pages of Register File for an Application to Embedded Memory Logic

유회준; 한선호; 곽철호; 원영걸, 제 5회 한국반도체 학술대회, 대한전자공학회, 1998

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0