Browse "School of Electrical Engineering(전기및전자공학부)" by Subject LOW-POWER

Showing results 1 to 26 of 26

1
60 GHz SoC/SoP RADIO SYSTEM FOR HIGH DATA-RATE TRANSMISSION

Eun, Ki-Chan; Lee, Jae-Jin; Jung, Dong-Yun; Cho, Seong-Jun; Kim, Hong-Yi; Song, In-Sang; Lee, Young-Chul; et al, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.52, pp.667 - 673, 2010-03

2
A 0.13-mu m CMOS 6 Gb/s/pin Memory Transceiver Using Pseudo-Differential Signaling for Removing Common-Mode Noise Due to SSN

Ha, KS; Kim, Lee-Sup; Bae, SJ; Park, KI; Choi, JS; Jun, YH; Kim, K; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.44, pp.3146 - 3162, 2009-11

3
A 0.56-2.92 GHz Wideband and Low Phase Noise Quadrature LO-Generator Using a Single LC-VCO for 2G-4G Multistandard Cellular Transceivers

Yoon, Heein; Lee, Yongsun; Lim, Younghyun; Tak, Geum-Young; Kim, Hong-Teuk; Ho, Yo-Chuol; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.51, no.3, pp.614 - 625, 2016-03

4
A 19-mW 2.6-mm(2) L1/L2 dual-band CMOS GPS receiver

Ko, J; Kim, J; Cho, S; Lee, Kwyro, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.40, pp.1414 - 1425, 2005-07

5
A 320-fs RMS Jitter and-75-dBc Reference-Spur Ring-DCO-Based Digital PLL Using an Optimal-Threshold TDC

Seong, Taeho; Lee, Yongsun; Yoo, Seyeon; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.9, pp.2501 - 2512, 2019-09

6
A 46-nF/10-M omega Range 114-aF/0.37-omega Resolution Parasitic- and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-mu m CMOS

George, Arup K.; Shim, Wooyoon; Kung, Jaeha; Kim, Ji-Hoon; Je, Minkyu; Lee, Junghyup, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.3, pp.1171 - 1184, 2022-03

7
A Digitally Assisted, Signal Folding Neural Recording Amplifier

Chen, Yi; Basu, Arindam; Liu, Lei; Zou, Xiaodan; Rajkumar, Ramamoorthy; Dawe, Gavin Stewart; Je, Minkyu, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, v.8, no.4, pp.528 - 542, 2014-08

8
A Display Source-Driver IC Featuring Multistage-Cascaded 10-Bit DAC and True-DC-Interpolative Super-OTA Buffer

Shin, Seunghwa; Kang, Gyeong-Gu; Lim, Gyu-Wan; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.4, pp.1050 - 1066, 2024-04

9
A fully synthesizable Bluetooth baseband module for a system-on-a-chip

Chun, IK; Kim, BG; Park, In-Cheol, ETRI JOURNAL, v.25, no.5, pp.328 - 336, 2003-10

10
A Low-Jitter Injection-Locked Multi-Frequency Generator Using Digitally Controlled Oscillators and Time-Interleaved Calibration

Yoon, Heein; Park, Suneui; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.6, pp.1564 - 1574, 2019-06

11
A Low-Reference Spur MDLL-Based Clock Multiplier and Derivation of Discrete-Time Noise Transfer Function for Phase Noise Analysis

Tak, Geum-Young; Lee, Kwyro, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.65, no.2, pp.485 - 497, 2018-02

12
A PVT-Robust and Low-Jitter Ring-VCO-Based Injection-Locked Clock Multiplier With a Continuous Frequency-Tracking Loop Using a Replica-Delay Cell and a Dual-Edge Phase Detector

Choi, Seojin; Yoo, Seyeon; Lim, Younghyun; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.51, no.8, pp.1878 - 1889, 2016-08

13
A Single-Inductor-Multiple-Output (SIMO) 0.8-V/1.8-V/12-V Step-Up/Down Converter With Low-Quiescent Current for Implantable Electroceutical SoCs

Yoon, Kye-Seok; Jung, Seungchul; Lee, Ji-Hun; Kim, Sang Joon; Kim, Hyun-Sik; Cho, Gyu-Hyeong, IEEE SOLID-STATE CIRCUITS LETTERS, v.4, pp.182 - 185, 2021-10

14
An Adaptive WLAN Interference Mitigation Scheme for ZigBee Sensor Networks

Chong, Jo Woon; Cho, Chae Ho; Hwang, Ho Young; Sung, Dan Keun, INTERNATIONAL JOURNAL OF DISTRIBUTED SENSOR NETWORKS, 2015

15
An Area-Efficient 10-Bit Source-Driver IC With LSB-Stacked LV-to-HV-Amplify DAC for Mobile OLED Displays

Lim, Gyu Wan; Gang, Gyeong-Gu; Ma, Hyunggun; Jeong, Moonjae; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.11, pp.3164 - 3164, 2023-11

16
An Ultra-Low-Jitter 22.8-GHz Ring-LC-Hybrid Injection-Locked Clock Multiplier With a Multiplication Factor of 114

Choi, Seojin; Yoo, Seyeon; Lee, Yongsun; Jo, Yongwoo; Lee, Jeonghyun; Lim, Younghyun; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS , v.54, no.4, pp.927 - 936, 2019-04

17
An Ultra-Low-Noise Swing-Boosted Differential Relaxation Oscillator in 0.18-mu m CMOS

Lee, Junghyup; George, Arup K.; Je, Minkyu, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.55, no.9, pp.2489 - 2497, 2020-09

18
Artificial Olfactory Neuron for an In-sensor Neuromorphic Nose

Han, Joon-Kyu; Kang, Mingu; Jeong, Jaeseok; Cho, Incheol; Yu, Ji-Man; Yoon, Kuk-Jin; Park, Inkyu; et al, ADVANCED SCIENCE, v.9, no.18, 2022-06

19
Building a 2.4-GHz radio transceiver using IEEE 802.15.4

Oh, NJ; Lee, Sang-Gug, IEEE CIRCUITS DEVICES, v.21, no.6, pp.43 - 51, 2005

20
High-Linearity In-Pixel Thermal Sensor Using Low-Temperature Poly-Si Thin-Film Transistors

Kim, Hyun-Sik; Han, Kwan-Young, IEEE SENSORS JOURNAL, v.15, no.2, pp.963 - 970, 2015-02

21
HLS-dv: A High-Level Synthesis Framework for Dual-Vdd Architectures

Shin, In-Sup; Paik, Seung-Whun; Shin, Dong-Wan; Shin, Young-Soo, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.20, no.4, pp.593 - 604, 2012-04

22
On-Chip Sinusoidal Signal Generators for Electrical Impedance Spectroscopy: Methodological Review

Kweon, Soon-Jae; Rafi, Ayesha Kajol; Cheon, Song-I; Je, Minkyu; Ha, Sohmyung, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, v.16, no.3, pp.337 - 360, 2022-06

23
Partially directional microdisk laser with two Rayleigh scatterers

Kim, Minkyung; Kwon, Kyungmook; Shim, Jaeho; Jung, Youngho; Yu, Kyoungsik, OPTICS LETTERS, v.39, no.8, pp.2423 - 2426, 2014-04

24
Perfectly Aligned, Air-Suspended Nanowire Array Heater and Its Application in an Always-On Gas Sensor

Choi, Kwang-Wook; Jo, Min-Seung; Lee, Jae-Shin; Yoo, Jae-Young; Yoon, Jun-Bo, ADVANCED FUNCTIONAL MATERIALS, v.30, no.39, pp.2004448, 2020-09

25
Physically Transient Memory on a Rapidly Dissoluble Paper for Security Application

Bae, Hagyoul; Lee, Byung-Hyun; Lee, Dongil; Seol, Myeong-Lok; Kim, Daewon; Han, Jin-Woo; Kim, Choong-Ki; et al, SCIENTIFIC REPORTS, v.6, 2016-12

26
Pulsed-Latch Circuits: A New Dimension in ASIC Design

Shin, Young-Soo; Paik, Seung-Whun, IEEE DESIGN TEST OF COMPUTERS, v.28, pp.50 - 57, 2011

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0