Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 861 to 880 of 22783

861
A 70dB SNR Capacitive Touch Screen Panel Readout IC using Capacitor-less Trans-Impedance Amplifier and Coded Orthogonal Frequency-Division Multiple Sensing Scheme

Ko, Seunghoon; Shin, Hyungcheol; Jang, Hongjae; Yun, Ilhyun; Lee, Kwyro, 2013 Symposium on VLSI Circuits, pp.C216 - C217, IEEE, 2013-06-12

862
A 72 mu W, 2.4GHz, 11.7% Tuning Range, 212dBc/Hz FoM LC-VCO in 65nm CMOS

Kim, Joo Myoung; Lee, Jae Seung; Kim, Sun A; Kim, Tae Ik; Park, Ho Jin; Lee, Sang Gug, IEEE Custom Integrated Circuits Conference 2015, IEEE, 2015-09-28

863
A 74.0 dB-SNDR 175.4 dB-FoM Pipelined-SAR ADC Using a Cyclically Charged Floating Inverter Amplifier

Park, Changjoo; Kim, Jeongmyeong; Kang, Kyounghun; Yang, Minkyu; Moon, Byeongmin; Lee, Siheon; Jung, Wanyeong, 2023 IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE, 2023-11-05

864
A 75uW real-time scalable network controller and a 25uW ExG sensor IC for compact sleep-monitoring applications

Lee, S.; Yan, L.; Roh, T.; Hong, S.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.36 - 37, IEEE, 2011-02-20

865
A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.189 - 192, IEEE, 2008-11-03

866
A 77GHz CMOS array receiver, transmitter and antenna for low cost small size automotive radar

Kim, Cheonsoo; Park, Piljae; Kim, Dongyoung; Kim, Seongdo; Yu, Hyunkyu; Cho, Moonkyu; Kim, Jeonggeun; et al, 2013 Asia Pacific Microwave Conference, APMC, 2013, pp.149 - 151, IEEE, 2013-11-06

867
A 77GHz CMOS Medium Power Amplifier with Transmission Line Transformers for multi-mode automotive radar system

Oh, Juntaek; Koo, Bonhyun; Hong, Songcheol, 2013 Asia Pacific Microwave Conference, APMC 2013, pp.769 - 771, IEEE, 2013-11-06

868
A 79 GHz g(m)-boosted Sub-Harmonic Mixer with High Conversion Gain in 65nm CMOS

Jang, Jingyu; Oh, Juntaek; Hong, Song-Cheol, Radio Frequency Integrated Circuits Symposium 2015, pp.11 - 14, IEEE, 2015-05-18

869
A 79GHz Adaptive Gain LNA in 65nm CMOS

장진규; 오준택; 홍성철, 15th RF/Analog Integrated Circuit Technology Workshop, IEEK, 2015-09-17

870
A 79pJ/b 80Mb/s Full Duplexer Transceiver and a 42.5μW 100kb/s Super-regenerative Transceiver for Body Channel Communication

Yoo, Hoi-Jun; Cho, Hyunwoo; Kim, Hyunki; Kim, Minseo; Jang, Jaeeun, RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO), 2015 IEEE MTT-S 2015 International Microwave Workshop Series on, pp.14 - 15, IEEE, 2015-09-21

871
A 79pJ/b 80Mb/s full-duplex transceiver and a 42.5μW 100kb/s super-regenerative transceiver for body channel communication

Cho, Hyunwoo; Yoo, Hoi-Jun; Kim, Hyunki; Kim, Minseo; Jang, Jaeeun; Bae, Joonsung, 62nd IEEE International Solid-State Circuits Conference (ISSCC), pp.380 - 381, Institute of Electrical and Electronics Engineers Inc., 2015-02-25

872
A 7b 1GS/s 7.2mW nonbinary 2b/cycle SAR ADC with register-to-DAC direct control

Hong, Hyeok-Ki; Kim, Wan; Park, Sun-Jae; Choi, Michael; Park, Ho-Jin; Ryu, Seung-Tak, 2012 IEEE Custom Integrated Circuits Conference, IEEE, 2012-09-10

873
A 7b, 3.75ps Resolution Two-Step Time-to-Digital Converter in 65nm CMOS Using Pulse-Train Time Amplifier

Kim, KwangSeok; Kim, YoungHwa; Yu, WonSik; Cho, SeongHwan, 2012 IEEE Symposia on VLSI Technology and Circuits, pp.192 - 193, IEEE, 2012-06-15

874
A 7V/μs-DVS Class-G Digital-Shunt-Aided Buck Voltage Regulator Achieving a 7% Dynamic-Efficiency Drop at a 600kHz DVS Occurrence Frequency in 28nm CMOS

Bae, Hong-Hyun; CHO, JEONGHYUN; Kim, Kihyun; Shin, seunghwa; Jang, Doojin; Yang, Jun-Hyeok; Kim, Hyun-Sik, 2024 IEEE Custom Integrated Circuits Conference (CICC), IEEE, 2024-04-21

875
A 80/20MHz 160mW multimedia processor integrated with embedded DRAM MPEG-4 accelerator and 3D rendering engine for mobile applications

Yoon, C.-W.; Woo, R.; Kook, J.; Lee, S.-J.; Lee, K.; Bae, Y.-D.; Park, In-Cheol; et al, Digest of Technical Papers - IEEE International Solid-State Circuits Conference, pp.142 - 143441, 2001-02-05

876
A 82nW Chaotic-Map True Random Number Generator Based on Sub-Ranging SAR ADC

Kim, Minseo; Ha, Unsoo; Lee, Yongsu; Lee, Kyuho Jason; Yoo, Hoi-Jun, European Solid-State Circuits Conference 2016, European Solid-State Circuits Conference 2016, 2016-09-12

877
A 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing

Kim, Gyeonghoon; Oh, Jinwook; Yoo, Hoi-Jun, IEEE European Solid-State Circuits Conference - ESSCIRC 2012, IEEE, 2012-09-20

878
A 870MHz 0.09mm2 0.45mW/MHz 32b embedded processor using 65nm CMOS technology

Lee, Youngjoo; Kim, Bongjin; Park, In-Cheol, IEEE International SoC Design Conference (ISOCC 2012) Chip Design Contest, IEEE, 2012-11-05

879
A 8uW, 0.3-mm2 RF-powered transponder with temperature sensor for wireless environmental monitoring

Kim, S.; Cho, N.; Song, S.-J.; Lee, J.-Y.; Kim, S.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4763 - 4766, 2005-05-23

880
A 9.02mW CNN-Stereo based Real-time 3D Hand Gesture Recognition Processor for Smart Mobile Devices

Choi, Sungpill; LEE, Jinsu; Yoo, Hoi-Jun, IEEE Internatioal Solid-State Circuits Conference, IEEE Internatioal Solid-State Circuits Conference, 2018-02

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0