Browse "School of Electrical Engineering(전기및전자공학부)" by Type Conference

Showing results 8581 to 8640 of 22800

8581
Fabrication and characterization of MFISFET using Al2O3 insulating layer for non-volatile memory

Shin, C.H.; Cha, S.Y.; Lee, Hee Chul; Lee, W.-J.; Yu, B.-G.; Kwak, D.-H., 12th International Symposium on Integrated Ferroelectrics, v.34, no.40547, 2000-03-12

8582
Fabrication and characterization of p-type Insulated gate Heterostructure FET(pai-HFET)

권영세, 대한전자공학회 반도체 재료 및 부품연구회 학술발표회, 1990

8583
Fabrication and characterization of RTD-HBT inverter

Lin, C. H.; Yang, Kyounghoon; Gonzalez, A. F.; East, J. R.; Mazumder, P.; Haddad, G. I.; Chow, D.H.; et al, 17th IEEE Cornell Conference, pp.42 - 43, IEEE, 2000-08-07

8584
Fabrication and characterization of SiOx/Parylene and SiNx/Parylene thin film encapsulation layers

Kim N.; Potscavage, W.; Kippelen, B.; Yoo, Seunghyup; Domercq, B.; Graham, S., ASME Electronic and Photonics Packaging Division, pp.933 - 938, 2007-07-08

8585
Fabrication and Characterization of Two-Dimensional Phase-Locked Arrays of Vertical Cavity Surface Emitting Lasers

Yoo, Hoi-Jun; Hayes, J.R.; Harbison, J.P.; Florez, L.T.; Kwon, Y.S., , SDL, 1990

8586
Fabrication and characterization of two-dimensional phased arrays of vertical cavity surface emitting lasers

Yoo, Hoi-Jun; Kwon, Young Se; Hayes, J.R.; Andreadakis, N.; Paek, E.G.; Chang, G.K.; Harbinson, J.P.; et al, IEEE/LEOS Annual Meeting, 1990

8587
Fabrication and evaluation of 3D packages with through hole via

Jang, D.M.; Lee, K.Y.; Ryu, C.H.; Cho, B.H.; Oh, T.S.; Kim, Joungho; Lee, W.J.; et al, 2006 MRS Fall Meeting, pp.171 - 178, 123, 2006-11-27

8588
Fabrication and field emission properties of carbon nanotube field emitters

Choi, Sung-Yool; Ahn, Seong-Deok; Song, Yoon-Ho; Park, Jong-Bong; Lee, Jin Ho; Cho, Kyoung-Ik, ICCE/8, ICCE/8, 2001-08-04

8589
FABRICATION METHOD OF A FEW NANOMETER SCALE BREAK JUNCTION AND ITS APPLICATION TO PALLADIUM HYDROGEN SENSORS

Lee, Jae-Shin; Yoon, Jun-Bo; Choi, Kwang-Wook; Seo, Min-Ho, The 16th International Meeting on Chemical Sensors(IMCS) 2016, Organizing Committee of IMCS 2016, 2016-07-12

8590
Fabrication of 3-D Periodic Photoresist Microstructures for High Fill-Factor Microlens Array Replication

Jeon, JW; Yoon, Jun-Bo; Lim, Koeng Su, 7th International Workshop on High-Aspect-Ratio Micro-Structure Technology (HARMST 2007), pp.35 - 36, 2007-06-08

8591
Fabrication of a Nano Scale Pattern Using Surface Plasmon Interference Lithography with Polystyrene Particles

Choi, Kyung Cheol; Kim, E.S., 2011 Material Research Society Spring Meeting and Exhibit, 2011 Material Research Society Spring Meeting and Exhibit, 2011-04-28

8592
Fabrication of a Nano Scale Pattern Using Surface Plasmon Interference Lithography with Polystyrene Particles

Choi, Kyung Cheol; Kim, ES, 2012 Material Research Society Spring Meeting and Exhibit, 2012-04-12

8593
Fabrication of a proto-type magnetic head with a miniature 3D solenoid structure

Cho, Y.-J.; Byun, C.; Yoon, Jun-Bo, Proc. 1st Workshop on Information Storage Device, pp.147 - 151, 1999

8594
Fabrication of a single crystal silicon substrate for AM-LCD using vertical etching of (110) silicon

Yoon Jun-Bo; Lee Ho-Jun; Han Chul-Hi; Kim, Choong Ki, Proceedings of the 1995 MRS Spring Meeting, v.377, pp.859 - 864, 1995-04-18

8595
Fabrication of a Solenoid-Type Microwave Transformer

최윤석; 윤준보; 김병일; 윤의식; 홍성철; 김충기; 한철희, 제 8회 한국반도체학술대회, pp.137 - 138, 2001-02

8596
Fabrication of a Solenoid-Type Microwave Transformer

Choi, Y.-S.; Yoon, Jun-Bo; Kim, B.-I.; Yoon, Euisik; Han, C.-H., 11th International Conference on Solid-State Sensors and Actuators (Transducers'01), pp.1564 - 1567, 11th International Conference on Solid-State Sensors and Actuators (Transducers'01), 2001-06

8597
Fabrication of a sub-wavelength pattern by surface plasmon lithography

Choi, Kyung Cheol; Kim, ES, The 4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012), 2012-07-05

8598
Fabrication of amorphous silicon solar cells on ZnO/glass substrate

Lim, Koeng Su, Korea-Japan Top University League Workshop on Photovoltaics 2010 (Top-PV2010), Korea-Japan Top University League Workshop on Photovoltaics 2010 (Top-PV2010), 2010

8599
Fabrication of an Inch-scale High-Q Fiber Ring Resonator

Pradono, Rizki Arif; Syahadi, Mohamad; Jeong, Dongin; Son, Gyeongho; Lee, Hansuek; Yu, Kyoungsik, 2022 Conference on Lasers and Electro-Optics (CLEO), Optica Publishing Group (formerly OSA), 2022-05

8600
Fabrication of an optical interconnection plate using a polymeric waveguide and a transparent substrate

Cho, HS; Eo, JY; Kang, S; Han, MG; Chu, KM; Rho, BS; Park, HyoHoon, The Fifth Pacific Rim Conference on Laser and Electro-Optics, pp.0 - 0, 2003-12-15

8601
Fabrication of Cu-encapsulated carbon nanotube inductors

Lee, B.C.; Lee, J.O.; Choi, Y.-K.; Yoon, Jun-Bo, 2007 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2007, pp.45 - 48, 2007-05-20

8602
Fabrication of Edge-Illuminated Refracting Facet Photodiodes with on-chip V-grooves

Lee, B; Yoon, M; 양경훈, Korean Conference On Semiconductors, pp.51 - 52, 2003

8603
Fabrication of electro-wetting liquid lenticular lens by using diffuser

Sim, Jee Hoon; Kim, Junoh; Kim, Cheoljoong; Shin, Dooseub; Lee, Junsik; Koo, Gyo Hyun; Won, Yong Hyub, Advanced Fabrication Technologies for Micro/Nano Optics and Photonics X 2017, SPIE, 2017-02

8604
Fabrication of ferroelectric polymer multilayer for flexible memory application

Kim, Woo Yong; Lee, Hee Chul, ICAMD(International Conference on Advanced Materials and Devices), 2011-12

8605
Fabrication of fiber taper waveguides using tube-etching

Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik, NanoKorea 2017, Korea Nano Technology Research Society, 2017-07-12

8606
Fabrication of Flexible NO2 Gas Sensors Using Graphene Carbon Nanotube Hybrid Films

Jeong, Hu Young; Choi, Hong Kyw; Lee, Duck Hyun; Lee, Dae-Sik; Lee, Jeong Yong; Kim, Sangouk; Choi, Sung-Yool, 2010 MRS Spring Meeting & Exhibit, MRS, 2010-04-08

8607
Fabrication of Flexible Photoluminescent Display for Improving Reliability

Choi, Kyung Cheol; Kim, SH; Jang, C; Kim, KJ; Ahn, SI, International Meetings on Information Display, 2009-10-15

8608
Fabrication of GaAs-based Heterosturcture-MOS RF Switch Devices Using an LPCEO Technology

Kim, S; 양경훈, Korean Conference on Semiconductors, pp.469 - 470, 2006

8609
Fabrication of high aspect ratio structures in microfluidic channel using optofluidic maskless lithography

Chung, S.E.; Park, W.; Park, H.; Yu, Kyoungsik; Park, N.; Kwon, S., microTAS (International Conference on Miniaturized Systems for Chemistry and Life Sciences) , microTAS (International Conference on Miniaturized Systems for Chemistry and Life Sciences), 2007-10

8610
Fabrication of High fmax InP DHBTs Using a New Wet Etching Method

Jeong, Y; Song, Y; Choi, S; Yoon, M; 양경훈, Korean Conference On Semiconductors, pp.347 - 348, 2003

8611
Fabrication of high performance flexible thermoelectric devices by improving crystallization process of screen-printed material

Choi, Hyeongdo; Kim, Yongjun; Kim, Choong Sun; LEE, GYUSOUP; Kim, Seongho; Hwang, Hye Rim; Cho, Byung-Jin, 37th International and 16th European Conference on Thermoelectrics, International Thermoelectric Society (ITS), 2018-07-04

8612
Fabrication of high performance PbS-colloidal quantum dot solar cell by air-annealing

김창조; 이정용, 한국태양광발전학회 2018 추계학술대회, 한국태양광발전학회, 2018-10-05

8613
Fabrication of high performance PbS-colloidal quantum dot solar cell by two-step post annealing treatment

Kim, Changjo; Lee, Jung-Yong, Global Photovoltaic Conference 2018, Korea Photovoltaic Society, 2018-03-15

8614
Fabrication of High-Performance, Embedded Hybrid Metallic Transparent Conductors Based on Ag NW-Grid using Deformation-Assisted Direct Imprinting of Ag Ion Ink

OH, Yong Suk; Lee, Hyunwoo; Choi, Dong Yun; Lee, Sung Uk; Kim, Hojin; Yoo, Seunghyup; Park, Inkyu; et al, 2016 MRS Fall Meeting&Exhibit, Materials Research Society, 2016-12-01

8615
Fabrication of Highly Conductive and Transparent Carbon Nanotube Thin Films using Layer-by-Layer Self Assembly Method

Sim, JB; Yang, HH; Yoon, Jun-Bo; Choi, SM, 11th International Conference on the Science and Application on Nanotubes, 11th International Conference on the Science and Application on Nanotubes, 2010-06-27

8616
Fabrication of Highly Conductive and Transparent Carbon Nanotube Thin Films using Layer-by-Layer Self Assembly Method

Sim, Jun-Bo; Yang, Hyun-Ho; Yoon, Jun-Bo; Choi Sung-Min, 11th International Conference on the Science and Application of Nanotubes (NT10), 2010-07-01

8617
Fabrication of Highly Efficient PbS Colloidal Quantum Dot Solar Cells via Two-Step Post Annealing Processes

Kim, Changjo; Lee, Jung-Yong, The 6th International Conference on Electronic Materials and Nanotechnology for Green Environment, ENGE 2020, The Korean Institute of Metals and Materials, 2020-11-02

8618
Fabrication of InGaAsP/InP Twin-guide Laser Diode with Rectangular Ring Cavity

Kwon, Young Se, ICSSDM, pp.604 - 605, 2001

8619
Fabrication of integrated temperature sensor for wafer level packaged LED

강인구; 김진관; 이희철, The 14th Korean MEMS Conference, 2012-04

8620
Fabrication of integrated twin-guide corner reflector surface-emitting lasers with reactive ion-beam etching

Kwon, Young Se, ISCS, ISCS, 1997-09

8621
Fabrication of liquid-filled square lens array with hemispherical partition walls

Koo, Gyohyun; Kim, Junoh; Kim, Cheol Joong; Shin Dooseub; Lee, Junsik; Won, Yong Hyub, SPIE Photonics West 2016, SPIE, 2016-02-13

8622
Fabrication of micro-pixel array via thermal imprinting with a polymer stamp for organic light-emitting diodes

Park, T.H.; Kim, Y.M.; Park, Y.W.; Choi, J.H.; Dong, K.Y.; Shin, S.I.; Choi, Kyung Cheol; et al, 15th International Display Workshops, IDW '08, pp.1075 - 1076, 2008-12-03

8623
Fabrication of micro/nano-fluidic channels by single-beam direct femtosecond laser writing

Dewanda, Fadia; Ahsan, Md. Shamim; Lee, Man Seop, SPIE Photonics West 2013, pp.86150H-1 - 86150H-7, SPIE, 2013-02-02

8624
Fabrication of microcrystalline silicon solar cells on the SnO2 coated substrate using the seed layer insertion

Lim, Koeng Su; Im, JS; Park, SI; Jeon, JW, 19th International Photovoltaic Science and Engineering Conference and Exhibition, 2009

8625
Fabrication of monolithic VCO using selective-area MOCVD.

Kwon, Young Se, International Symposium on Compound Semiconductors, 1996

8626
Fabrication of Multimode Polymer Optical Waveguides by Using UV Curable Resins and Transfer Molding Process

Oh, W. J.; Kim, M. S.; Byun, H. H.; Kim, J. W.; Han, K. S.; Oh, J. H.; Kwon, M. S.; et al, OptoElectronics and Communications Conference, pp.534 - 535, 2002

8627
Fabrication of Nano-Gap Electrodes with Controlled Gap-Widths Using Atomic-Layer-Deposited Sacrificial Layer

Park, Chan Woo; Lim, Jung-Wook; Yu, Han Young; Pi, Ung Hwan; Choi, Sung-Yool, 2005 MRS Spring Meeting, -, 2005-03-28

8628
Fabrication of Nanoparticle-based 3D Photonic Crystals

Kim, Geon Yeong; Kim, Shinho; Jang, Min Seok; Jung, Yeon Sik, 2021년 한국세라믹학회 추계학술대회, 한국세라믹학회, 2021-11-04

8629
Fabrication of Near Infrared Planar Geiger-mode Avalanche Photodiodes using a Single Diffusion Process

이기원; 양경훈, 19th 한국 반도체 학술대회, 한국 반도체 학술대회, 2012-02

8630
Fabrication of novel self-aligned InP/InGaAs HBT''s using dummy emitter

Kim, M; Jeon, S; Yoon, M; Yang, Kyounghoon; Kwon, Young Se, Conference on Optoelectronic and Microelectronic Materials and Devices, pp.123, Conference on Optoelectronic and Microelectronic Materials and Devices, 2000-12-06

8631
Fabrication of periodic metal nanogrid transparent electrode via capillary assembly of Ag nanoparticles

Kang, Juhoon; Park, Changgu; Lee, Suhan; Cho, Changsoon; Choi, Dae Geun; Lee, Jung Yong, 12th Korea-China Bilateral Symposium on Polymer Materials, Polymer Division of Korea Institute of Chemical Engineers and Changchun Institute of Applied Chemistry(CIAC), 2016-07-11

8632
Fabrication of photonic devices directly written in glass using femtosecond laser pulses

Sohn, I.-B.; Lee, Man Seop; Jee, S.-J., Fifth International Symposium on Laser Precision Microfabrication, v.5662, no.0, pp.190 - 192, nternational Symposium on Laser Precision Micropublication, 2004-05-11

8633
Fabrication of Piecewise-Uniform LPFG Designed using Multiport Lattice Filter Model

Bae, J.; Lee, S.B.; Ku, H.D.; Kim, S.H.; Chun, Joohwan, Optical Fiber Communication Conference (OFC), v.2, pp.570 - 571, 2003-03

8634
Fabrication of Pirani Gauge by Bulk Micromachining for MEMS Vacuum Packaging

Shim, Hyyn Bin; Lee, Hee Chul, AWAD (Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices), 2011-07

8635
Fabrication of polycrystalline silicon field emitter arrays having tips sharpened by dry etching

Kang, Sueng-Youl; Song, Yoon-Ho; Lee, Jin Ho; Choi, Sung-Yool; Cho, Kyoung-Ik, 1998 International Display Workshop, 1998 International Display Workshop, 1998-12-09

8636
Fabrication of polymeric large-core optical waveguides using a rubber molding process

Lee, B.T.; Kwon, M.S.; Shin, Sang Yung, OSA Organic Thin Films for Photonics Applications, 1999-09

8637
Fabrication of protocrystalline silicon multilayer/protocrystalline silicon multilayer tandem solar cell for low degradation (Submitted)

Kwak, J; Kwon, SW; Lim, Koeng Su, IEEE 4th World Conference on Photovoltaic Energy Conversion, 2006

8638
Fabrication of Proton-Uiffused LiNbO3 Waveguides with Self-Aligned SiO2-Cladding

Shin, Sang Yung; Son, YS; Kim, JS, Technical Digest of Seventh International Conference on Integrated Optics and Optical Fiber Communic, pp.162 - 163, 1989

8639
Fabrication of quantum well waveguide modulators at 1.55μm and their characteristics

홍성철; 정희상; 김윤구; 이동한; 신동수; 윤의준; 이봉영, 제 3회 한국반도체 학술대회, pp.237 - 238, 1996

8640
Fabrication of Semi-transparent Resistive Random Access Memory and Its Charateristics of Nonvolatile Resistive Switching

Lim, Koeng Su, 2010 MRS Fall Meetings, 2010 MRS Fall Meetings, 2010

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0