Browse by Type Conference

Showing results 1241 to 1260 of 109491

1241
A 0.5V 2.41GHz, 196.3dBc/Hz FoM differential colpitts VCO with an output voltage swing exceeding supply and ground potential requiring no additional inductor

Kim, Joo-Myoung; Kim, Seong Joong; Han, Seok Kyun; Lee, Sang-Gug, 2013 IEEE Radio Frequency Integrated Circuits Symposium, pp.39 - 42, IEEE, 2013-06

1242
A 0.5V 54 mu W Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression

Yoo, Hoi-Jun; Kim, Youchang; Hong, Injoon, IEEE International Solid- State Circuits Conference, pp.330 - 331, IEEE, 2015-02-25

1243
A 0.5V 9.26 mu W 15.28m Omega/root Hz Bio-Impedance Sensor IC with 0.55 degrees Overall Phase Error

Kim, Kwantae; Kim, Ji-Hoon; Gweon, Surin; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Kim, Soyeon; et al, IEEE International Solid- State Circuits Conference (ISSCC), pp.364 - +, IEEE, 2019-02

1244
A 0.5V CMOS Temperature-Independent Current Reference Circuit

이창교; 성바로샘; 선우희영; 권지욱; 류승탁, SoC학술대회, 2010

1245
A 0.5V, 6.2μW, 0.059mm2 Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing

Kim, Kwantae; Yoo, Hoi-Jun; Kim, Changhyeon; Choi, Sungpill, IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

1246
A 0.5V-VIN, 0.29ps-Transient-FOM, and Sub-2mV-Accuracy Adaptive-Sampling Digital LDO Using Single-VCO-Based Edge-Racing Time Quantizer

Lee, Jeonghyun; Bang, Jooeun; Lim, Younghyun; Choi, Jaehyouk, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C130 - C131, Institute of Electrical and Electronics Engineers Inc., 2019-06-12

1247
A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC

Sohn, Kyomin; Choi, Sungdae; Woo, Jeong-Ho; Kim, Joo-Young; Yoo, Hoi-Jun, 006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.315 - 318, Institute of Electrical and Electronics Engineers Inc., 2006-11-13

1248
A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Kang, Sanghoon; Kim, Youchang; Yoo, Hoi-Jun, Internatioal Solid-State Circuits Conference, IEEE, 2017-02

1249
A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 μm CMOS for 10mm on-chip interconnects

Bae, Joonsung; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.2861 - 2864, Institute of Electrical and Electronics Engineers Inc., 2008-05-18

1250
A 0.75-6.75 GHz Receiver with a Digitally Controlled LO Generator for Software-Defined Radio

Park, Chul Soon, 2010 IEEE International Microwave Workshop Series on RF Front-ends for Software Defined and Cognitive Radio Solution (IMWS), 2010-02-22

1251
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10(-6) BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

Lee, Jiwon; Lee, Kyoung-Rog; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

1252
A 0.791mm2 fully on-chip controller with self-error-correction for boost DC-DC converter based on Zero-Order Control

Kong, Tae-Hwang; Hong, Sung-Wan; Lee, Sungwoo; Im, Jong-Pil; Cho, Gyu-Hyeong, 2012 IEEE Custom Integrated Circuits Conference, CICC 2012, pp.1 - 4, IEEE, 2012-09-10

1253
A 0.7fJ/bit/search, 2.2ns search time hybrid type TCAM architecture

Choi, S.; Sohn, K.; Lee, M.-W.; Kim, S.; Choi, H.-M.; Kim, D.; Cho, U.-R.; et al, Digest of Technical Papers - 2004 IEEE International Solid-State Circuits Conference, v.47, pp.498 -, 2003-02-15

1254
A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication

Ha, Unsoo; Cho, Hyunwoo; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS), 2013, pp.1183 - 1186, IEEE, 2013-05-21

1255
A 0.7V 17fJ/Step-FOMW178.1dB-FOMSNDR10kHz-BW 560mVPPTrue-ExG Biopotential Acquisition System with Parasitic-Insensitive 421MΩ Input Impedance in 0.18m CMOS

Lee, Sehwan; Choi, Yoonsung; Kim, Geunha; Baik, Seungyeob; Seol, Taeryoung; Jang, Homin; Lee, Doyoung; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.336 - 338, Institute of Electrical and Electronics Engineers Inc., 2022-02

1256
A 0.87 V 12.5 Gb/s Clock-Path Feedback Equalization Receiver with Unfixed Tap Weighting Property in 65 nm CMOS

Lee, Daewoong; Lee, Dongil; Kim, Yong-Hun; Kim, Lee-Sup, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C196 - C197, The IEEE Solid-State Circuits Society,The Japan Society of Applied Physics, 2019-06-12

1257
A 0.8V 82.9μW In-ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver

Lee, Jaehyuk; Lee, Kyoung-Rog; Ha, Unsoo; Kim, Ji-Hoon; Lee, Kwonjoon; Yoo, Hoi-Jun, Symposia on VLSI Technology and Circuits, Symposia on VLSI Technology and Circuits, 2018-06

1258
A 0.8V, 37nW, 42ppm/degrees C Sub-Bandgap Voltage Reference with PSRR of-81dB and Line Sensitivity of 51ppm/V in 0.18um CMOS

Kim, Myungjun; Cho, SeongHwan, 31st Symposium on VLSI Circuits, pp.C144 - C145, IEEE, 2017-06-07

1259
A 0.8V, 37nW, 42ppm/°C sub-bandgap voltage reference with PSRR of-81dB and line sensitivity of 51ppm/V in 0.18um CMOS

Kim, Myungjun; Cho, SeongHwan, 31st Symposium on VLSI Circuits, VLSI Circuits 2017, pp.C144 - C145, Institute of Electrical and Electronics Engineers Inc., 2017-06

1260
A 0.9-v 67-uw analog front-end using adaptive-SNR technique for digital hearing aid

Kim, S.; Lee, J.-Y.; Song, S.-J.; Cho, N.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.740 - 743, 2005-05-23

rss_1.0 rss_2.0 atom_1.0