Browse by Type Article

Showing results 50421 to 50440 of 100510

50421
Low-Temperature Growth of Indium Oxide Thin Film by Plasma-Enhanced Atomic Layer Deposition Using Liquid Dimethyl(N-ethoxy-2,2-dimethylpropanamido)indium for High-Mobility Thin Film Transistor Application

Kim, Hyo Yeon; Jung, Eun Ae; Mun, Geumbi; Agbenyeke, Raphael E.; Park, Bo Keun; Park, Jin-Seong; Son, Seung Uk; et al, ACS APPLIED MATERIALS & INTERFACES, v.8, no.40, pp.26924 - 26931, 2016-10

50422
Low-temperature growth of layered molybdenum disulphide with controlled clusters

Mun, Jihun; Kim, Yeongseok; Kang, Il-Suk; Lim, Sung Kyu; Lee, Sang Jun; Kim, Jeong Won; Park, Hyun Min; et al, SCIENTIFIC REPORTS, v.6, 2016-02

50423
Low-temperature growth of N-doped SiO2 layer using inductively-coupled plasma oxidation and its effect on the characteristics of thin film transistors

Kim, BH; Lee, SR; Ahn, KM; Kang, SM; Yang, YH; Ahn, BT, 한국재료학회지, v.19, no.1, pp.37 - 43, 2009

50424
Low-temperature heat utilization with vapor pressure-driven osmosis: Impact of membrane properties on mass and heat transfer

Chen, Xi; Boo, Chanhee; Yip, Ngai Yin, JOURNAL OF MEMBRANE SCIENCE, v.588, 2019-10

50425
Low-temperature large-area fabrication of ZnO nanowires on flexible plastic substrates by solution-processible metal-seeded hydrothermal growth

Yoo, Kangeun; Lee, Wonseok; Kang, Kyungnam; Kim, Inhwan; Kang, Daehun; Oh, Dong Kyo; Kim, Min Cheol; et al, NANO CONVERGENCE, v.7, no.1, 2020-07

50426
Low-Temperature Material Stacking of Ultrathin Body Ge (110)-on-Insulator Structure via Wafer Bonding and Epitaxial Liftoff From III-V Templates

Shim, Jae-Phil; Kim, Han-Sung; Ju, Gunwu; Lim, Hyeong-Rak; Kim, Seong Kwang; Han, Jae-Hoon; Kim, Hyung-Jun; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.65, no.3, pp.1253 - 1257, 2018-03

50427
Low-temperature metal/Zerodur heterogeneous bonding through gas-phase processed adhesion promoting interfacial layers

Klokkevold, Katherine N.; Keeven, Weston; Lee, Dong Hun; Clevenger, Michael; Liu, Mingyuan; No, Kwangsoo; Song, Han Wook; et al, AIP ADVANCES, v.12, no.10, 2022-10

50428
Low-temperature n-type doping of insulating ultrathin amorphous indium oxide using H plasma-assisted annealing

Seo, Hojun; Lee, Sang Yeon; Lee, Jeongsu; Kim, Sunjin; Sul, Onejae; Seo, Hyungtak; Lee, Seung-Beck, NANOTECHNOLOGY, v.33, no.20, 2022-05

50429
LOW-TEMPERATURE PERFORMANCE OF SHORT-WAVELENGTH SUPERLATTICE GAAS-ALGAAS VERTICAL-CAVITY SURFACE-EMITTING LASERS

YOO, J; Lee, Yong-Hee; LEIBENGUTH, RE, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.27, no.4, pp.422 - 424, 1994-08

50430
Low-temperature Phase Equilibria in TiO2-rich Region of the System BaO-TiO2

김호기, JOURNAL OF THE KOREAN CERAMIC SOCIETY, 2001-01

50431
Low-Temperature Plasma Etching of Copper Films Using Ultraviolet Irradiation

Kang-Sik Choi; Chul-Hi Han, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1, v.37, no.11, pp.5945 - 5948, 1998-11

50432
Low-temperature preparation of boron-doped nanocrystalline SiC : H films using mercury-sensitized photo-CVD technique

Myong, SY; Kim, TH; Lim, KS; Kim, KH; Ahn, Byung Tae; Miyajima, S; Konagai, M, SOLAR ENERGY MATERIALS AND SOLAR CELLS, v.81, no.4, pp.485 - 493, 2004-03

50433
Low-Temperature Pressureless Sintering of Sr- and Mg-Doped Lanthanum Gallate Ceramics by Sintering Atmosphere Control

Chang, Jaemyung; Lee, Hae-Weon; Kang, Suk-Joong L, JOURNAL OF THE AMERICAN CERAMIC SOCIETY, v.92, no.4, pp.927 - 930, 2009-04

50434
Low-Temperature Processable High-Performance D-A-Type Random Copolymers for Nonfullerene Polymer Solar Cells and Application to Flexible Devices

Kim, Ji-yeong; Park, Sungmin; Lee, Seungjin; Ahn, Hyungju; Joe, Sung-yoon; Kim, Bumjoon J.; Son, Hae Jung, Advanced Energy Materials, v.8, no.30, 2018-10

50435
Low-Temperature Processed Flexible In-Ga-Zn-O Thin-Film Transistors Exhibiting High Electrical Performance

Yang, Shinhyuk; Bak, Jun Yong; Yoon, Sung-Min; Ryu, Min Ki; Oh, Himchan; Hwang, Chi-Sun; Kim, Gi Heon; et al, IEEE ELECTRON DEVICE LETTERS, v.32, no.12, pp.1692 - 1694, 2011-12

50436
Low-Temperature Pseudomorphic Transformation of Ordered Hierarchical Macro-mesoporous SiO2/C Nanocomposite to SiC via Magnesiothermic Reduction

Shi, Yifeng; Zhang, Fan; Hu, Yong-Sheng; Sun, Xiaohong; Zhang, Yichi; Lee, Hyung Ik; Chen, Liquan; et al, JOURNAL OF THE AMERICAN CHEMICAL SOCIETY, v.132, no.16, pp.5552 - 5552, 2010-04

50437
Low-Temperature Rapid Fabrication of ZnO Nanowire UV Sensor Array by Laser-Induced Local Hydrothermal Growth

Hong, Suk-Joon; Yeo, Jun-Yeob; Manorotkul, Wanit; Kim, Gun-Ho; Kwon, Jin-Hyeong; An, Kun-Sik; Ko, Seung-Hwan, JOURNAL OF NANOMATERIALS, 2013

50438
Low-temperature sintering of dense lanthanum silicate electrolytes with apatite-type structure using an organic precipitant synthesized nanopowder

Jo, SH; Muralidharan, P; Kim, Do Kyung; Muralidharan, P, JOURNAL OF MATERIALS RESEARCH, v.24, pp.237 - 244, 2009-01

50439
Low-temperature synthesis of Eu-doped cubic phase BaAl2S4 blue phosphor using liquid-phase reaction

Cho, Yang Hwi; Park, Do Hyung; Ahn, Byung Tae, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, v.155, no.1, pp.41 - 44, 2008

50440
Low-Temperature Synthesis of Sr2Si5N8:Eu2+ Red-Emitting Phosphor by Modified Solid-State Metathesis Approach and Its Photoluminescent Characteristics

Kirakosyan, Artavazd Gh; Jeon, DukYoung, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, v.159, no.2, pp.J29 - J33, 2012

rss_1.0 rss_2.0 atom_1.0