Browse "EE-Conference Papers(학술회의논문)" by Title 

Showing results 481 to 500 of 22883

481
A 1.12pJ/b resonance compensated inductive transceiver with a fault-tolerant network controller for wearable body sensor networks

Yoo, J.; Lee, S.; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.313 - 316, 2008-11-03

482
A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots

Yoo, Hoi Jun; Kim, Youchang; Shin, Dongjoo; Lee, Jinsu, IEEE Symposium on Low-Power and High-Speed Chips, IEEE, 2016-04

483
A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multicore Processor With Neural Network NoC for HMD Applications

Kim, Gyeonghoon; Kim, Youchang; Lee, Kyuho; Park, Seongwook; Hong, Injoon; Bong, Kyeongyeol; Shin, Dongjoo; et al, 2014 IEEE International Solid-State Circuits Conference, pp.182 - 184, IEEE, 2014-02-11

484
A 1.22mW/Gb/s 9.6Gb/s Data Jitter Mixing Forwarded-Clock Receiver Robust against Power Noise with 1.92ns Latency Mismatch between Data and Clock in 65nm CMOS

Chung, Sang-Hye; Kim, Lee-Sup, 2012 IEEE Symposium on VLSI Circuits, IEEE, 2012-06-15

485
A 1.23W/mm283.7%-Efficiency 400MHz 6-Phase Fully Integrated Buck Converter in 28nm CMOS with On-Chip Capacitor Dynamic Re-Allocation for Inter-Inductor Current Balancing and Fast DVS of 75mV/ns

Cho, Jeong-Hyun; Kim, Dong-Kyu; Bae, Hong-Hyun; Lee, Yong-Jin; Koh, Seok-Tae; Choo, Younghwan; Paek, Ji-Seon; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.298 - 300, Institute of Electrical and Electronics Engineers Inc., 2022-02

486
A 1.25 Gb/s High Sensitive Peak Detector in Optical Burst-Mode Receiver Using a 0.18um CMOS Technology

Seo, J.-W.; Han, S.; Lee, S.-G.; Lee, Man Seop; Yoo, T.W., 2003 International Conference on Communication Technology, ICCT 2003, v.1, pp.644 - 646, 2003-04-09

487
A 1.25Gbit/s AC-Coupled Burst-Mode Receiver for Ethernet PON and Its Deomonstration of Experimental Optical Packet Transmission

Lee, Man Seop, OECC/COIN 2004, 2004-07

488
A 1.25V Direct Conversion CMOS Transmitter Front- End for 900 MHz ZigBee Applications

Lee, Sang-Gug, IEEE Midwest International Conference on Circuit And System, pp.0 - 0, 2003-12-01

489
A 1.2Mpixels/s/mW 3-D rendering processor for portable multimedia application

Woo, J.-H.; Lee, M.-W.; Kim, H.; Sohn, J.-H.; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.297 - 300, 2005-11-01

490
A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Oh, Jinwook; Lee, Seungjin; Kim, Minsu; Kwon, Joonsoo; Park, Junyoung; Kim, Joo-Young; Yoo, Hoi-Jun, 2010 24th Symposium on VLSI Circuits, VLSIC 2010, pp.17 - 18, Institute of Electrical and Electronics Engineers Inc., 2010-06-16

491
A 1.3 pJ/bit Energy-Efficient Ultra-Low Power On-off mode Oscillator Using an InP-based Quantum-effect Tunneling Device

Lee, Jooseok; Lee, Jongwon; Park, Jaehong; Kim, Maengkyu; Yang, Kyounghoon, IEEE International Conference on InP and Related Materials, IEEE, 2012-08

492
A 1.32 TOPS/W Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C304 - C305, Institute of Electrical and Electronics Engineers Inc., 2019-06

493
A 1.3pJ/b inductive coupling transceiver with adaptive gain control for Cm-range 50Mbps data communication

Lee, S.; Yoo, J.; Song, K.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.297 - 300, 2009-11-16

494
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

Shin, Dongjoo; Kim, Youchang; Yoo, Hoi-Jun, 30th IEEE International System on Chip Conference, SOCC 2017, pp.138 - 142, IEEE Computer Society, 2017-09

495
A 1.42TOPS/W Deep Convolutional Neural Network Recognition Processor for Intelligent IoT Systems

Sim, Jae Hyeong; Park, Jun Seok; Kim, Min Hye; Bae, Dong Myung; Choi, Yeong Jae; Kim, Lee Sup, 2016 IEEE ISSCC, IEEE solid-state circuits society, 2016-02-02

496
A 1.4mΩ-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system

Kim, Minseo; Kim, Hyunki; Jang, Jaeeun; Lee, Jihee; Lee, Jaehyuk; Lee, Jiwon; Lee, Kyoung-Rog; et al, 64th IEEE International Solid-State Circuits Conference (ISSCC), pp.354, IEEE, 2017-02

497
A 1.4V 10.5MHz swing-boosted differential relaxation oscillator with 162.1dBc/Hz FOM and 9.86psrms period jitter in 0.18µm CMOS

Lee, Junghyup; George, Arup; Je, Minkyu, IEEE International Solid-State Circuits Conference (ISSCC), IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2016-02-01

498
A 1.5-GHz 63dB SNR 20mW direct RF sampling bandpass VCO-based ADC in 65nm CMOS

Yoon, Y.-G.; Cho, SeongHwan, 2009 Symposium on VLSI Circuits, pp.270 - 271, 123, 2009-06-16

499
A 1.55ns 0.015 mm2 64-bit quad number comparator

Kim, M.; Kim, J.-Y.; Yoo, Hoi-Jun, 2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, pp.283 - 286, 2009-04-28

500
A 1.5nJ/pixel Super-Resolution Enhanced FAST Corner Detection Processor for High Accuracy AR

Yoo, Hoi-Jun; Park, Seongwook; Kim, Gyeonghoon; Park, Junyoung, European Solid State Circuits Conference (ESSCIRC), pp.191 - 194, IEEE, 2014-09-23

rss_1.0 rss_2.0 atom_1.0