More Power Reduction With 3-Tier Logic-on-Logic 3-D ICs

Cited 3 time in webofscience Cited 0 time in scopus
  • Hit : 338
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorSong, Taigonko
dc.contributor.authorPanth, Shreepadko
dc.contributor.authorChae, Yoo-Jinko
dc.contributor.authorLim, Sung Kyuko
dc.date.accessioned2017-01-18T02:37:08Z-
dc.date.available2017-01-18T02:37:08Z-
dc.date.created2017-01-02-
dc.date.created2017-01-02-
dc.date.issued2016-12-
dc.identifier.citationIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.35, no.12, pp.2056 - 2067-
dc.identifier.issn0278-0070-
dc.identifier.urihttp://hdl.handle.net/10203/219614-
dc.description.abstractLow-power is one of the key driving forces in modern very large scale integration systems. Recent studies show that 3-D integrated circuits (ICs) offer a significant power saving over 2-D ICs. However, these studies are mainly limited to two-tier (2-tier) designs. Thus, in this paper, we extend our target to three-tier (3-tier) 3-D ICs. This paper first shows that the one additional tier available in 3-tier 3-D ICs does offer more power saving compared with their 2-tier 3-D IC counterparts, but more careful floorplanning, through-silicon via management, and block folding considerations are required. Second, we find that the 3-tiers can be bonded in several different ways: 1) face-to-back only; 2) face-to-face and face-to-back combined; and 3) back-to-back and face-to-face combined. This paper shows that these choices pose additional challenges in design optimizations for more power saving. Lastly, we develop effective computer-aided-design solutions that are seamlessly integrated into commercial 2-D IC tools to handle 3-tier 3-D IC power optimization under various bonding style options. With our low-power design methods combined, our 3-tier 3-D ICs provide -14.8% more power reduction over 2-tier 3-D ICs, and -36.0% over 2-D ICs in microprocessor cores under the same performance. In full-chip microprocessors, our 3-tier 3-D ICs provide -27.2% more power reduction over 2-D ICs.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleMore Power Reduction With 3-Tier Logic-on-Logic 3-D ICs-
dc.typeArticle-
dc.identifier.wosid000388960900011-
dc.identifier.scopusid2-s2.0-84999115008-
dc.type.rimsART-
dc.citation.volume35-
dc.citation.issue12-
dc.citation.beginningpage2056-
dc.citation.endingpage2067-
dc.citation.publicationnameIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.identifier.doi10.1109/TCAD.2016.2550583-
dc.contributor.localauthorChae, Yoo-Jin-
dc.contributor.nonIdAuthorSong, Taigon-
dc.contributor.nonIdAuthorPanth, Shreepad-
dc.contributor.nonIdAuthorLim, Sung Kyu-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthor3D IC-
dc.subject.keywordAuthorfloorplanning-
dc.subject.keywordAuthorTSV-
dc.subject.keywordAuthorF2F (face-to-face)-
dc.subject.keywordAuthorlow power-
dc.subject.keywordAuthorpower reduction-
Appears in Collection
RIMS Journal Papers
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 3 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0