Skewed Flip-Flop and Mixed-V-t Gates for Minimizing Leakage in Sequential Circuits

Cited 1 time in webofscience Cited 0 time in scopus
  • Hit : 431
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorSeomun, Junko
dc.contributor.authorKim, Jae-Hyunko
dc.contributor.authorShin, Young-Sooko
dc.date.accessioned2013-03-07T21:21:43Z-
dc.date.available2013-03-07T21:21:43Z-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.issued2008-11-
dc.identifier.citationIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.27, pp.1956 - 1968-
dc.identifier.issn0278-0070-
dc.identifier.urihttp://hdl.handle.net/10203/91376-
dc.description.abstractMixed V-t has been widely used to control leakage without affecting circuit performance. However, existing approaches only target combinational circuits, even though sequential elements such as flip-flops contribute an appreciable proportion of the total leakage. Applying high Vt to ordinary flip-flops would reduce the number of combinational gates that can be assigned to high Vt, because any timing slacks would be absorbed by the increased setup guard time and propagation delay of the high-V-t flip-flops. A skewed flip-flop (SFF) can be constructed by replacing a subset of transistors in a conventional flip-flop with low-leakage devices, such as large-L-gate transistors. In terms of leakage and delay, SFFs exhibit very skewed characteristic, which depends on the transistors that are replaced. Our algorithm selectively substitutes SFFs for conventional flip-flops in sequential circuits so as to reduce the leakage while continuing to satisfy the timing constraint. When combined with the mixed-V-t combinational circuits, this achieves an average leakage saving of 15% compared to mixed Vt alone. The leakage of the flip-flops themselves is cut by 25% on average.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectSTATE ASSIGNMENT-
dc.subjectPOWER-
dc.subjectVOLTAGE-
dc.subjectPROCESSOR-
dc.subjectSCHEME-
dc.subjectCMOS-
dc.titleSkewed Flip-Flop and Mixed-V-t Gates for Minimizing Leakage in Sequential Circuits-
dc.typeArticle-
dc.identifier.wosid000260385100005-
dc.identifier.scopusid2-s2.0-54949141529-
dc.type.rimsART-
dc.citation.volume27-
dc.citation.beginningpage1956-
dc.citation.endingpage1968-
dc.citation.publicationnameIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.identifier.doi10.1109/TCAD.2008.2006084-
dc.contributor.localauthorShin, Young-Soo-
dc.contributor.nonIdAuthorKim, Jae-Hyun-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorFlip-flop-
dc.subject.keywordAuthorleakage current-
dc.subject.keywordAuthorlow power-
dc.subject.keywordAuthormixed V-t-
dc.subject.keywordAuthorsequential circuit-
dc.subject.keywordPlusSTATE ASSIGNMENT-
dc.subject.keywordPlusPOWER-
dc.subject.keywordPlusVOLTAGE-
dc.subject.keywordPlusCMOS-
dc.subject.keywordPlusPROCESSOR-
dc.subject.keywordPlusSCHEME-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 1 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0