Browse "BiS-Conference Papers(학술회의논문)" by Title 

Showing results 25 to 44 of 3456

25
A 0.0046mm2 6.7μW Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with >0.68MHz GBW without Compensation Zero

Shin, Hongseok; Kim, Jinuk; Jang, Doojin; Cho, Donghee; Jung, Yoontae; Cho, Hyungjoo; Lee, Unbong; et al, 2020 IEEE Symposium on VLSI Circuits, IEEE, 2020-06

26
A 105dB-Gain 500MHz-bandwidth 0.1Ω-output-impedance amplifier for an amplitude modulator in 65nm CMOS

Kim, Chul; Chae, Chang-seok; Yuk, Young-sub; Kim, Yi-Gyeong; Kwon, Jong-Kee; Cho, Gyu-Hyeong, 2010 IEEE International Solid- State Circuits Conference - (ISSCC), pp.88 - 89, IEEE, 2010-02

27
A 12.6 mW 8.3 Mevents/s contrast detection 128×128 imager with 75 dB intra-scene DR asynchronous random-access digital readout

Park, Jongkil; Ha, Sohmyung; Kim, Chul; Joshi, Siddharth; Yu, Theodore; Ma, Wei; Cauwenberghs, Gert, 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp.564 - 567, IEEE, 2014-10

28
A 144MHz integrated resonant regulating rectifier with hybrid pulse modulation

Kim, Chul; Ha, Sohmyung; Park, Jiwoong; Akinin, Abraham; Mercier, Patrick P.; Cauwenberghs, Gert, 29th Annual Symposium on VLSI Circuits, VLSI Circuits 2015, pp.C284 - C285, Institute of Electrical and Electronics Engineers Inc., 2015-06

29
A 16-channel wireless neural interfacing SoC with RF-powered energy-replenishing adiabatic stimulation

Ha, Sohmyung; Akinin, Abraham; Park, Jiwoong; Kim, Chul; Wang, Hui; Maier, Christoph; Cauwenberghs, Gert; et al, 29th Annual Symposium on VLSI Circuits, VLSI Circuits 2015, pp.C106 - C107, Institute of Electrical and Electronics Engineers Inc., 2015-06

30
A 3D micropatterned neuronal culture platform using extracellular matrix-based hydrogel on a microelectrode array

Yoon, Dongjo; Son, Jejung; Park, Je-Kyun; Nam, Yoonkey, The 24th International Conference on Miniaturized Systems for Chemistry and Life Sciences (µTAS 2020), Chemical and Biological Microsystems Society (CBMS), 2020-10-07

31
A 4.2-pJ/Conv 10-b Asynchronous ADC with Hybrid Two-Tier Level-Crossing Event Coding

Kubendran, Rajkumar; Park, Jongkil; Sharma, Ritvik; Kim, Chul; Joshi, Siddharth; Cauwenberghs, Gert; Ha, Sohmyung, 52nd IEEE International Symposium on Circuits and Systems, ISCAS 2020, Institute of Electrical and Electronics Engineers, 2020-10

32
A 6μW/MHz charge buffer with 7fF input capacitance in 65nm CMOS for non-contact electropotential sensing

Joshi, Siddharth; Kim, Chul; Cauwenberghs, Gert, 2016 IEEE International Symposium on Circuits and Systems, ISCAS 2016, pp.2907, Institute of Electrical and Electronics Engineers Inc., 2016-05

33
A 7.86 mW +12.5 dBm in-band IIP3 8-to-320 MHz capacitive harmonic rejection mixer in 65nm CMOS

Kim, Chul; Ha, Sohmyung; Thomas, Chris; Joshi, Siddharth; Park, Jongkil; Larson, Lawrence; Cauwenberghs, Gert, 40th European Solid-State Circuit Conference, ESSCIRC 2014, pp.227 - 230, IEEE Computer Society, 2014-09

34
A 92dB dynamic range sub-μVrms-noise 0.8μW/ch neural-recording ADC array with predictive digital autoranging

Kim, Chul; Joshi, Siddharth; Courellis, Hristos; Wang, Jun; Miller, Cory; Cauwenberghs, Gert, 65th IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.470 - 472, Institute of Electrical and Electronics Engineers Inc., 2018-02

35
A Batch-fabricated Piezoresistive Cantilever Microaccelerometers with a Symmetrically Bonded Proof-mass

Ko, JS; Cho, Young-Ho; Lee, HJ; Park, K, IMEMS 1997, pp.6 - 9, 1997-12

36
A Bio-Inspired Light-Emitting Diode Lens

Kim, J.; Kim, H.; Jeong, KI-HUN, KMEMS 2010, 2010-04-03

37
A Biologically Inspired Adaptive Model for Efficient Image Compression

이현수; 장재선; 백세범, 한국물리학회 봄 학술대회, 한국물리학회, 2015-04-23

38
A biomimetic cell culture platform for microfluidic cell-based assays

Kim, Minseok S; Yeon, Ju Hun; Park, Je-Kyun, 한국생물공학회 추계학술발표회, 2005-10

39
A bioprinted 3D hydrogel model with dome-like structure to analyze morphology and functionality of a gut-associated lymphoid tissue

박종호; 이기현; 박제균, 2023 한국바이오칩학회 추계학술대회, 한국바이오칩학회, 2023-11-16

40
A Boundary-Based View of Spatial Cognition

Lee, Sang Ah, 13th International Conference on Spatial Information Theory, COSIT, 2017-09-05

41
A branch and bound algorithm for the F/NO-idle/CMAX

Lee, Kwang-Hyung, pp.429 - 438, 1997

42
A Bulk-micromachined Silicon Micromirror for Tunable Optical Switch Applications

Seo, Kyoung-Sun; Cho, Young-Ho; Youn, Sung-Kie, Proc. IEEE 5th Inter. Conf. on Emerging Technologies and Factory Automation (ETFA '96), pp.404 - 407, 1996

43
A case of bilateral corona radiata infarction

Jeong Yong; Kim, HJ; Joo, MK; Kwon, SH; Roh, SY, J Korean Neurol Assoc, J Korean Neurol Assoc, 2001

44
A case of falcine sinus thrombosis

Jeong, Yong; Kim, YD; Jung, YK; Kim, DI; Heo, JH, J Korean Stroke Society, J Korean Stroke Society, 2000

rss_1.0 rss_2.0 atom_1.0