Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-10 of 19 (Search time: 0.004 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management

Roh, Taehwan; Song, Kiseok; Cho, Hyunwoo; Shin, Dongjoo; Ha, Unsoo; Lee, Kwonjoon; Yoo, Hoi-Jun, 2014 IEEE International Solid-State Circuits Conference, IEEE, 2014-02-11

2
DNPU: An Energy-Efficient Deep Neural Network Processor with On-Chip Stereo Matching

Shin, Dongjoo; Yoo, Hoi-Jun, Hot Chips: A Symposium on High Performance Chips, Hot Chips: A Symposium on High Performance Chips, 2017-08

3
UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision

Lee, Jinmook; Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Kim, Sangyeob; Yoo, Hoi-Jun, IEEE Internatioal Solid-State Circuits Conference, IEEE Internatioal Solid-State Circuits Conference, 2018-02

4
An Energy-Efficient Unified Deep Neural Network Accelerator with Fully-Variable Weight Precision for Mobile Deep Learning Applications

Lee, Jinmook; Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Kim, Sangyeob; Yoo, Hoi-Jun, Hot Chips: A Symposium on High Performance Chips, Hot Chips: A Symposium on High Performance Chips, 2018-08

5
A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression

Kim, Changhyeon; Kang, Sanghoon; Shin, Dongjoo; Choi, Sungpill; Kim, Youngwoo; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.136 - 138, Institute of Electrical and Electronics Engineers Inc., 2019-02

6
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

Lee, Juhyoung; Kim, Changhyeon; Choi, Sungpill; Shin, Dongjoo; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

7
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

Shin, Dongjoo; Kim, Youchang; Yoo, Hoi-Jun, 30th IEEE International System on Chip Conference, SOCC 2017, pp.138 - 142, IEEE Computer Society, 2017-09

8
A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

Kim, Youchang; Shin, Dongjoo; Lee, Jin Su; Lee, Yongsu; Yoo, Hoi-Jun, 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp.258 - U357, IEEE, 2016-02-02

9
DNPU: An 8.1TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks

Shin, Dongjoo; Lee, Jinmook; Lee, Jinsu; Yoo, Hoi-Jun, 64th IEEE International Solid-State Circuits Conference (ISSCC), pp.240 - 242, IEEE, 2017-02

10
An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks

Shin, Dongjoo; Lee, Jinmook; LEE, Jinsu; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL Chips), Cool Chips :IEEE Symposium on Low-Power and High-Speed Chips and Systems, 2017-04

rss_1.0 rss_2.0 atom_1.0