Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-10 of 23 (Search time: 0.006 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Woo, Jeong-Ho; Yoo, Hoi-Jun, Coolchips 2009, pp.361 - 363, Institute of Electrical and Electronics Engineers Inc., 2009-04-15

2
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition

Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Park, Joonyoung; Kwon, Joonsoo; Kim, Joo-Young; Yoo, Hoi-Jun, Cool Chips 2010, Institute of Electrical and Electronics Engineers Inc., 2010-04-14

3
A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Oh, Jinwook; Lee, Seungjin; Kim, Minsu; Kwon, Joonsoo; Park, Junyoung; Kim, Joo-Young; Yoo, Hoi-Jun, 2010 24th Symposium on VLSI Circuits, VLSIC 2010, pp.17 - 18, Institute of Electrical and Electronics Engineers Inc., 2010-06-16

4
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 2007 IEEE Custom Integrated Circuits Conference, CICC, pp.443 - 446, Institute of Electrical and Electronics Engineers Inc., 2007-09-16

5
A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Oh, Sejong; Woo, Jeong-Ho; Kim, Donghyun; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, Institute of Electrical and Electronics Engineers Inc., 2009-02-08

6
A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.189 - 192, IEEE, 2008-11-03

7
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 34th European Solid-State Circuits Conference, ESSCIRC 2008, pp.462 - 465, IEEE, 2008-09-15

8
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 29th Annual IEEE Custom Integrated Circuits Conference, CICC 2007, pp.443 - 446, IEEE, 2007-09-16

9
Implementation of Memory-Centric NoC for 81.6 GOPS object recognition processor

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.47 - 50, IEEE, 2007-11-12

10
A 66fps 3 8mW nearest neighbor matching processor with hierarchical VQ algorithm for real-time object recognition

Kim, Joo-Young; Kim, Kwanho; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, IEEE, 2008-11-03

rss_1.0 rss_2.0 atom_1.0