Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-10 of 14 (Search time: 0.006 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Woo, Jeong-Ho; Yoo, Hoi-Jun, Coolchips 2009, pp.361 - 363, Institute of Electrical and Electronics Engineers Inc., 2009-04-15

2
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition

Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Park, Joonyoung; Kwon, Joonsoo; Kim, Joo-Young; Yoo, Hoi-Jun, Cool Chips 2010, Institute of Electrical and Electronics Engineers Inc., 2010-04-14

3
A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Oh, Jinwook; Lee, Seungjin; Kim, Minsu; Kwon, Joonsoo; Park, Junyoung; Kim, Joo-Young; Yoo, Hoi-Jun, 2010 24th Symposium on VLSI Circuits, VLSIC 2010, pp.17 - 18, Institute of Electrical and Electronics Engineers Inc., 2010-06-16

4
A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Oh, Sejong; Woo, Jeong-Ho; Kim, Donghyun; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, Institute of Electrical and Electronics Engineers Inc., 2009-02-08

5
A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.189 - 192, IEEE, 2008-11-03

6
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 34th European Solid-State Circuits Conference, ESSCIRC 2008, pp.462 - 465, IEEE, 2008-09-15

7
A 66fps 3 8mW nearest neighbor matching processor with hierarchical VQ algorithm for real-time object recognition

Kim, Joo-Young; Kim, Kwanho; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, IEEE, 2008-11-03

8
The brain mimicking Visual Attention Engine: An 80×60 digital Cellular Neural Network for rapid global feature extraction

Lee, Seungjin; Kim, Kwanho; Kim, Minsu; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 Symposium on VLSI Circuits Digest of Technical Papers, pp.26 - 27, Institute of Electrical and Electronics Engineers Inc., 2008-06-18

9
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine

Kim, Kwanho; Lee, Seungjin; Kim, Joo-Young; Kim, Minsu; Kim, Donghyun; Woo, Jeong-Ho; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.308 - 310, IEEE, 2008-02-03

10
A 22.8GOPS 2.83mW neuro-fuzzy Object Detection Engine for fast multi-object recognition

Kim, Minsu; Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.260 - 261, Institute of Electrical and Electronics Engineers Inc., 2009-06-16

rss_1.0 rss_2.0 atom_1.0