Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-10 of 19 (Search time: 0.003 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
Network-on-Chip and Network-in-Package for High-Performance SoC

Kim, Joungho; Lee, Kangmin; Lee, Se-Joong; Kim, Donghyun; Kim, Kwanho; Kim, Gawon; Yoo, Hoi-Jun, IEEE 1st Asian-Solid State Circuits Conference, pp.485 - 488, 2005-11

2
Cost-efficient Network-on-Chip Design Using Traffic Monitoring System

Yoo, Hoi-Jun; Kim, Kwanho; Kim, Donghyun; Lee, Kangmin, IEEE Design, Automation and Test in Europe, 2007

3
A 0.9-V 96-uW Digital Hearing Aid Chip with Heterogeneous sigma-delta DAC

Yoo, Hoi-Jun; Kim, Sunyoung; Cho, Namjun; Song, Seong-Jun; Kim, Donghyun; Kim, Kwanho, IEEE Symposium On VLSI Circuits (SOVC) 2006, pp.68 - 69, 2006

4
An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Woo, Jeong-Ho; Yoo, Hoi-Jun, Coolchips 2009, pp.361 - 363, Institute of Electrical and Electronics Engineers Inc., 2009-04-15

5
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 2007 IEEE Custom Integrated Circuits Conference, CICC, pp.443 - 446, Institute of Electrical and Electronics Engineers Inc., 2007-09-16

6
A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine

Kim, Joo-Young; Kim, Minsu; Lee, Seungjin; Oh, Jinwook; Kim, Kwanho; Oh, Sejong; Woo, Jeong-Ho; Kim, Donghyun; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, Institute of Electrical and Electronics Engineers Inc., 2009-02-08

7
A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.189 - 192, IEEE, 2008-11-03

8
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip

Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 34th European Solid-State Circuits Conference, ESSCIRC 2008, pp.462 - 465, IEEE, 2008-09-15

9
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 29th Annual IEEE Custom Integrated Circuits Conference, CICC 2007, pp.443 - 446, IEEE, 2007-09-16

10
Implementation of Memory-Centric NoC for 81.6 GOPS object recognition processor

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.47 - 50, IEEE, 2007-11-12

rss_1.0 rss_2.0 atom_1.0