Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-3 of 3 (Search time: 0.006 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
Analysis of power distribution network in TSV-based 3D-IC

Kim, K.; Lee, W.; Kim, J.; Song, T.; Kim, J.; Pak, J.S.; Kim, Joungho; Lee, H.; Kwon, Y.; Park, K., 2010 IEEE 19th Conference on Electrical Performance of Electronic Packaging and Systems, EPEPS 2010, pp.177 - 180, IEEE, 2010-10-25

2
I/O power estimation and analysis of high-speed channels in Through-Silicon Via (TSV)-based 3D IC

Kim, Joungho; Cho, J.; Pak, J.S.; Song, T.; Kim, J.; Lee, H.; Lee, J.; Park, K., 2010 IEEE 19th Conference on Electrical Performance of Electronic Packaging and Systems, EPEPS 2010, pp.41 - 44, IEEE, 2010-10-25

3
Modeling and analysis of die-to-die vertical coupling in 3-D IC

Lee, S.; Kim, G.; Kim, J.; Song, T.; Lee, J.; Lee, H.; Park, K.; Kim, Joungho, 2009 11th Electronic Packaging Technology Conference, EPTC 2009, pp.707 - 711, 2009-12-09

Discover

rss_1.0 rss_2.0 atom_1.0