Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 21-29 of 29 (Search time: 0.012 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
21
The brain mimicking Visual Attention Engine: An 80×60 digital Cellular Neural Network for rapid global feature extraction

Lee, Seungjin; Kim, Kwanho; Kim, Minsu; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 Symposium on VLSI Circuits Digest of Technical Papers, pp.26 - 27, Institute of Electrical and Electronics Engineers Inc., 2008-06-18

22
Visual image processing RAM for fast 2-D data location search

Kim, Joo-Young; Kim, Donghyun; Lee, Seungjin; Kim, Kwanho; Jeon, Seonghyun; Yoo, Hoi-Jun, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference, pp.324 - 327, IEEE, 2007-09-11

23
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine

Kim, Kwanho; Lee, Seungjin; Kim, Joo-Young; Kim, Minsu; Kim, Donghyun; Woo, Jeong-Ho; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.308 - 310, IEEE, 2008-02-03

24
Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seung-Jin; Yoo, Hoi-Jun, NOCS 2007: First International Symposium on Networks-on-Chip, pp.30 - 39, IEEE, 2007-05-07

25
A 22.8GOPS 2.83mW neuro-fuzzy Object Detection Engine for fast multi-object recognition

Kim, Minsu; Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.260 - 261, Institute of Electrical and Electronics Engineers Inc., 2009-06-16

26
Intelligent NoC with neuro-fuzzy bandwidth regulation for a 51 IP object recognition processor

Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Park, Junyoung; Kwon, Joonsoo; Kim, Joo-Young; Yoo, Hoi-Jun, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, Institute of Electrical and Electronics Engineers Inc., 2010-09-19

27
A 118.4GB/s multi-casting network-on-chip for real-time object recognition processor

Kim, Joo-Young; Kim, Kwanho; Lee, Seungjin; Kim, Minsu; Oh, Jinwook; Yoo, Hoi-Jun, 35th European Solid-State Circuits Conference, ESSCIRC 2009, pp.400 - 403, Institute of Electrical and Electronics Engineers Inc., 2009-09-14

28
A 60fps 496mW Multi-Object Recognition Processor with Workload-Aware Dynamic Power Management

Kim, Joo-Young; Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Yoo, Hoi-Jun, 2009 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED'09, pp.365 - 370, Association for Computing Machinery / Institute of Electrical and Electronics Engineers Inc., 2009-08-19

29
A 66fps 38mW nearest neighbor matching processor with hierarchical VQ algorithm for real-time object recognition

Kim, Joo-Young; Kim, Kwanho; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.177 - 180, Institute of Electrical and Electronics Engineers Inc., 2008-11-03

rss_1.0 rss_2.0 atom_1.0