Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 11-20 of 29 (Search time: 0.008 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
11
Implementation of Memory-Centric NoC for 81.6 GOPS object recognition processor

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.47 - 50, IEEE, 2007-11-12

12
A 66fps 3 8mW nearest neighbor matching processor with hierarchical VQ algorithm for real-time object recognition

Kim, Joo-Young; Kim, Kwanho; Lee, Seungjin; Kim, Minsu; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, IEEE, 2008-11-03

13
Bitwise Competition Logic for compact digital comparator

Kim, Joo-Young; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.59 - 62, IEEE, 2007-11-12

14
A 372 ps 64-bit adder using fast pull-up logic in 0.18-/spl mu/m CMOS

Kim, Joo-Young; Lee, Kangmin; Yoo, Hoi-Jun, 2006 IEEE International Symposium on Circuits and Systems, Institute of Electrical and Electronics Engineers Inc., 2006-05-21

15
A 24.2-μ W Dual-Mode Human Body Communication Controller for Body Sensor Network

Choi, Sungdae; Song, Seong-Jun; Sohn, Kyomin; Kim, Hyejung; Kim, Joo-Young; Cho, Namjun; Woo, Jeong-Ho; Yoo, Jerald; Yoo, Hoi-Jun, ESSCIRC 2006 - 32nd European Solid-State Circuits Conference, Institute of Electrical and Electronics Engineers Inc., 2006-09-19

16
A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 μm CMOS for 10mm on-chip interconnects

Bae, Joonsung; Kim, Joo-Young; Yoo, Hoi-Jun, 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008, pp.2861 - 2864, Institute of Electrical and Electronics Engineers Inc., 2008-05-18

17
Z-PIM: An Energy-Efficient Sparsity Aware Processing-In-Memory Architecture with Fully-Variable Weight Precision

Kim, Ji-Hoon; Lee, Juhyoung; Lee, Jinsu; Yoo, Hoi-Jun; Kim, Joo-Young, 2020 IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Institute of Electrical and Electronics Engineers Inc., 2020-06-16

18
A Low-power Star-topology Body Area Network Controller for Periodic Data Monitoring Around and Inside the Human Body

Choi, Sungdae; Song, Seong-Jun; Sohn, Kyomin; Kim, Hyejung; Kim, Joo-Young; Yoo, Jerald; Yoo, Hoi-Jun, 10th IEEE International Symposium on Wearable Computers, ISWC 2006, pp.139 - 140, IEEE Computer Society, 2006-10-11

19
A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC

Sohn, Kyomin; Choi, Sungdae; Woo, Jeong-Ho; Kim, Joo-Young; Yoo, Hoi-Jun, 006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.315 - 318, Institute of Electrical and Electronics Engineers Inc., 2006-11-13

20
Vision platform for mobile intelligent robot based on 81.6 GOPS object recognition processor

Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun, 45th Design Automation Conference, DAC, pp.96 - 101, IEEE, 2008-06-08

rss_1.0 rss_2.0 atom_1.0