Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 31-40 of 173 (Search time: 0.006 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
31
A Capsule Endoscope System for Wide Visualization Field and Location Tracking

Jang, Jaeeun; Lee, Jihee; Lee, Kyoung-Rog; Lee, Jiwon; Kim, Minseo; Yoo, Hoi-Jun, 2018 IEEE Biomedical Circuits and Systems Conference (BioCAS) - Advanced Systems for Enhancing Human Health, pp.583 - 586, BIOCAS 2018, 2018-10

32
Advances and Open Challenges for Integrated Circuits Detecting Bio-Molecules

Carminati, Marco; Thewes, Roland; Rosenstein, Jacob; Yoo, Hoi-Jun, 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp.857 - 860, IEEE, 2018-12

33
A 9.02mW CNN-Stereo-Based Real-Time 3D Hand-Gesture Recognition Processor for Smart Mobile Devices

Choi, Sungpill; Lee, Jinsu; Lee, Kyuho; Yoo, Hoi-Jun, 65th IEEE International Solid-State Circuits Conference (ISSCC), pp.220 - +, IEEE, 2018-02

34
4-Camera VGA-Resolution Capsule Endoscope with 80Mb/s Body-Channel Communication Transceiver and Sub-cm Range Capsule Localization

Jang, Jaeeun; Lee, Jihee; Lee, Kyoung-Rog; Lee, Jiwon; Kim, Minseo; Lee, Yongsu; Bae, Joonsung; Yoo, Hoi-Jun, 65th IEEE International Solid-State Circuits Conference (ISSCC), pp.282 - +, IEEE, 2018-02

35
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

Lee, Juhyoung; Kim, Changhyeon; Choi, Sungpill; Shin, Dongjoo; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

36
A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices

Han, Donghyeon; LEE, Jinsu; Lee, Jinmook; Choi, Sungpill; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

37
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10(-6) BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

Lee, Jiwon; Lee, Kyoung-Rog; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

38
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition

Lee, Seungjin; Oh, Jinwook; Kim, Minsu; Park, Joonyoung; Kwon, Joonsoo; Kim, Joo-Young; Yoo, Hoi-Jun, Cool Chips 2010, Institute of Electrical and Electronics Engineers Inc., 2010-04-14

39
A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Oh, Jinwook; Lee, Seungjin; Kim, Minsu; Kwon, Joonsoo; Park, Junyoung; Kim, Joo-Young; Yoo, Hoi-Jun, 2010 24th Symposium on VLSI Circuits, VLSIC 2010, pp.17 - 18, Institute of Electrical and Electronics Engineers Inc., 2010-06-16

40
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

Shin, Dongjoo; Kim, Youchang; Yoo, Hoi-Jun, 30th IEEE International System on Chip Conference, SOCC 2017, pp.138 - 142, IEEE Computer Society, 2017-09

rss_1.0 rss_2.0 atom_1.0