Browse "EE-Conference Papers(학술회의논문)" by Author Seomun, J.

Showing results 1 to 4 of 4

1
Bounded potential slack: Enabling time budgeting for dual-Vt allocation of hierarchical design

Seomun, J.; Paik, S.; Shin, Youngsoo, 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010, pp.581 - 586, ASP-DAC 2010, 2010-01-18

2
Self-retention of data in power-gated circuits

Seomun, J.; Shin, Youngsoo, 2009 International SoC Design Conference, ISOCC 2009, pp.212 - 215, 123, 2009-11-22

3
Skewed flip-flop transformation for minimizing leakage in sequential circuits

Seomun, J.; Kim, J.; Shin, Youngsoo, 2007 44th ACM/IEEE Design Automation Conference, DAC'07, pp.103 - 106, 2007-06-04

4
Synthesis and implementation of active mode power gating circuits

Seomun, J.; Shin, I.; Shin, Youngsoo, 47th Design Automation Conference, DAC '10, pp.487 - 492, ACM Special Interest Group on Design Automation (SIGDA), 2010-06-13

rss_1.0 rss_2.0 atom_1.0