Browse "EE-Conference Papers(학술회의논문)" by Author Kandemir, Mahmut Taylan

Showing results 1 to 11 of 11

1
Centaur: A Novel Architecture for Reliable, Low-Wear, High-Density 3D NAND Storage

Liu, Chun-Yi; Kotra, Jagadish; Jung, Myoungsoo; Kandemir, Mahmut Taylan, 2020 SIGMETRICS/Performance Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2020, pp.93 - 94, Association for Computing Machinery, Inc, 2020-06-09

2
Computing with near data

Tang, Xulong; Kandemir, Mahmut Taylan; Zhao, Hui; Jung, Myoungsoo; Karakoy, Mustafa, 14th Joint Conference of International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2019 and IFIP Performance Conference 2019, SIGMETRICS/Performance 2019, pp.27 - 28, Association for Computing Machinery, Inc, 2019-06-24

3
Enhancing computation-to-core assignment with physical location information

Kislal, Orhan; Kotra, Jagadish; Tang, Xulong; Kandemir, Mahmut Taylan; Jung, Myoungsoo, 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2018, pp.312 - 327, Association for Computing Machinery, 2018-06-18

4
GSSA: A Resource Allocation Scheme Customized for 3D NAND SSDs

Liu, Chun-Yi; Lee, Yunju; Choi, Wonil; Jung, Myoungsoo; Kandemir, Mahmut Taylan; Das, Chita, 27th Annual IEEE International Symposium on High Performance Computer Architecture, HPCA 2021, pp.426 - 439, IEEE Computer Society, 2021-03

5
HAMS: Hardware Automated Memory-over-Storage for Large-scale Memory Expansion

Zhang, Jie; Kwon, Miryeong; Gouk, Donghyun; Koh, Sungjoon; Kim, Nam Sung; Kandemir, Mahmut Taylan; Jung, Myoungsoo, 13rd Annual Non-Volatile Memories Workshop (NVMW), 2022, University of California, San Diego, 2022-05-09

6
Location-Aware Computation Mapping for Manycore Processors

Kislal, Orhan; Kotra, Jagadish; Tang, Xulong; Kandemir, Mahmut Taylan; Jung, Myoungsoo, 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, pp.138 - 139, ACM and IEEE Computer Society, 2017-09-09

7
NANDFlashSim: Intrinsic latency variation aware NAND flash memory system modeling and simulation at microarchitecture level

Jung, Myoungsoo; Wilson III, Ellis Herbert; Donofrio, David; Shalf, John; Kandemir, Mahmut Taylan, 2012 IEEE 28th Symposium on Mass Storage Systems and Technologies, MSST 2012, IEEE Computer Society, 2012-04-16

8
Prolonging 3D NAND SSD lifetime via read latency relaxation

Liu, Chun-Yi; Lee, Yunju; Jung, Myoungsoo; Kandemir, Mahmut Taylan; Choi, Wonil, 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2021, pp.730 - 742, Association for Computing Machinery, 2021-04

9
Revamping Storage Class Memory With Hardware Automated Memory-Over-Storage Solution

Zhang, Jie; Kwon, Miryeong; Gouk, Donghyun; Koh, Sungjoon; Kim, Nam Sung; Kandemir, Mahmut Taylan; Jung, Myoungsoo, 48th IEEE/ACM International Symposium on Computer Architecture, ISCA 2021, pp.762 - 775, Association for Computing Machinery, Inc, 2021-07-15

10
Taking garbage collection overheads off the critical path in SSDs

Jung, Myoungsoo; Prabhakar, Ramya; Kandemir, Mahmut Taylan, 13th ACM/IFIP/USENIX International Middleware Conference, Middleware 2012, pp.164 - 186, ACM/IFIP/USENIX, 2012-12-03

11
Triple-A: A non-SSD based Autonomic All-Flash Array for high performance storage systems

Jung, Myoungsoo; Choi, Wonil; Shalf, John; Kandemir, Mahmut Taylan, 19th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2014, pp.441 - 454, ACM SIGARCH, SIGOPS, SIGPLAN, 2014-03-01

rss_1.0 rss_2.0 atom_1.0