Browse "EE-Conference Papers(학술회의논문)" by Author 한인학

Showing results 1 to 4 of 4

1
Automatic clock gating synthesis through detection of cyclic paths

신영수; Fan, Yuepeng; 한인학, 제25회 한국반도체학술대회, 대한전자공학회, 2018-02-05

2
Simultaneous fixing hold violations of best and worst corners

한인학; 정진욱; 신영수, 한국반도체학술대회, 대한전자공학회, 2015-02-10

3
Synthesis of multi-stage gate-level clock gating

한인학; 신영수, 한국반도체학술대회, 대한전자공학회, 2014-02-25

4
동작모드 파워 게이팅 회로를 위한 클락 게이팅 합성 기법

한인학; 김상민; 신영수, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2011-06

rss_1.0 rss_2.0 atom_1.0