An 2.31uJ/Inference Ultra-Low Power Always-on Event-Driven AI-IoT SoC With Switchable nvSRAM Compute-in-Memory Macro

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 4
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorSang, Haoyangko
dc.contributor.authorXie, Wenaoko
dc.contributor.authorPark, Gwangtaeko
dc.contributor.authorYoo, Hoi-Junko
dc.date.accessioned2024-09-05T11:00:14Z-
dc.date.available2024-09-05T11:00:14Z-
dc.date.created2024-08-29-
dc.date.issued2024-05-
dc.identifier.citationIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.71, no.5, pp.2534 - 2538-
dc.identifier.issn1549-7747-
dc.identifier.urihttp://hdl.handle.net/10203/322723-
dc.description.abstractInternet-of-Things (IoT) drives the demand for artificial intelligence (AI) system-on-chips (SoCs) for vast always-on ultra-low power applications such as human action recognition (HAR) for surveillance systems, face detection (FD) and recognition (FR) for home security, etc. Previous AI-IoT SoCs still face limited system efficiency caused by the high leaky power of SRAMs, huge external memory access (EMA), and frequent on-chip data transfer. The proposed ultra-low power RISC-V embedded AI-IoT SoC is composed of 1) a novel bit-line (BL) segmented coupled nvSRAM macro with switchable working modes: SRAM, non-volatile memory (NVM), NVM computing in memory (CIM), performing pre-charge reusing, power gating and local data swapping; 2) a hot-silent encoded (HSE) uDMA cluster with 1MB multi-bank eMRAM to reduce the on-chip transmission power and eliminate the EMA power; 3) and an event-driven wake-up unit (EDWU) for skipping unnecessary inference; 4) a RISC-V core with dedicated ISA extension for switchable working modes. The proposed SoC achieves an energy efficiency of 20.3-35.5 TOPS/W @ResNet-20 (fix-point-8, FXP8) inferencing, which shows a 2.82x - 3.69x efficiency improvement compared to the previous state-of-the-art (SOTA) AI-IoT SoCs.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleAn 2.31uJ/Inference Ultra-Low Power Always-on Event-Driven AI-IoT SoC With Switchable nvSRAM Compute-in-Memory Macro-
dc.typeArticle-
dc.identifier.wosid001230987700057-
dc.identifier.scopusid2-s2.0-85187387193-
dc.type.rimsART-
dc.citation.volume71-
dc.citation.issue5-
dc.citation.beginningpage2534-
dc.citation.endingpage2538-
dc.citation.publicationnameIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS-
dc.identifier.doi10.1109/TCSII.2024.3374885-
dc.contributor.localauthorYoo, Hoi-Jun-
dc.contributor.nonIdAuthorSang, Haoyang-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorRandom access memory-
dc.subject.keywordAuthorCommon Information Model (electricity)-
dc.subject.keywordAuthorLow-power electronics-
dc.subject.keywordAuthorFace recognition-
dc.subject.keywordAuthorSystem-on-chip-
dc.subject.keywordAuthorSurveillance-
dc.subject.keywordAuthorSystem-on-chip (SoC)-
dc.subject.keywordAuthornvSRAM-
dc.subject.keywordAuthorcompute-in-memory (CIM)-
dc.subject.keywordAuthorultra low power-
dc.subject.keywordAuthorAI-IoT-
dc.subject.keywordAuthorNonvolatile memory-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0