Airgap Insertion and Layer Reassignment under Setup and Hold Timing Constraints

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 132
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorHyun, Daijoonko
dc.contributor.authorJung, Younggwangko
dc.contributor.authorShin, Youngsooko
dc.date.accessioned2023-03-20T01:00:13Z-
dc.date.available2023-03-20T01:00:13Z-
dc.date.created2022-11-22-
dc.date.issued2023-03-
dc.identifier.citationIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.42, no.3, pp.987 - 999-
dc.identifier.issn0278-0070-
dc.identifier.urihttp://hdl.handle.net/10203/305672-
dc.description.abstractAirgap formed in inter-metal dielectric (IMD) reduces coupling capacitance, and thus can be utilized for timing optimization. Metal layers with airgap are limited due to high cost of airgap formation. Layer reassignment is to relocate some timing critical wires in non-airgap layers to airgap layers while non-critical wires in airgap layers are reassigned to non-airgap layers. Airgap insertion is to determine the amount of airgaps that are inserted for each critical wires in airgap layers. The two problems are solved in unified fashion with a goal of maximizing setup total negative slack (TNS) while satisfying hold constraints and design rules. They can be formulated as mixed integer quadratically constrained programming (MIQCP). So, for practical application, a heuristic algorithm is presented and is experimentally compared to MIQCP with small examples. Experiments demonstrate that setup TNS and setup worst negative slack (WNS) are improved by 37% and 8%, respectively; they are improved by 26% and 5% with a simple-minded approach. The algorithm is also parallelized for application to larger circuits; runtime is decreased by 69% with 8 threads.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleAirgap Insertion and Layer Reassignment under Setup and Hold Timing Constraints-
dc.typeArticle-
dc.identifier.wosid000936151300023-
dc.identifier.scopusid2-s2.0-85135212003-
dc.type.rimsART-
dc.citation.volume42-
dc.citation.issue3-
dc.citation.beginningpage987-
dc.citation.endingpage999-
dc.citation.publicationnameIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.identifier.doi10.1109/TCAD.2022.3191252-
dc.contributor.localauthorShin, Youngsoo-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorWires-
dc.subject.keywordAuthorMetals-
dc.subject.keywordAuthorCapacitance-
dc.subject.keywordAuthorRouting-
dc.subject.keywordAuthorDielectrics-
dc.subject.keywordAuthorRuntime-
dc.subject.keywordAuthorResistance-
dc.subject.keywordAuthorAirgap insertion-
dc.subject.keywordAuthorairgap layer-
dc.subject.keywordAuthorlayer reassignment (LR)-
dc.subject.keywordAuthorparallel execution-
dc.subject.keywordAuthortiming optimization-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0