Integrated approach of airgap insertion for circuit timing optimization

Cited 1 time in webofscience Cited 2 time in scopus
  • Hit : 484
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorHyun, Daijoonko
dc.contributor.authorShin, Youngsooko
dc.date.accessioned2019-12-19T07:20:50Z-
dc.date.available2019-12-19T07:20:50Z-
dc.date.created2019-11-26-
dc.date.created2019-11-26-
dc.date.issued2019-03-
dc.identifier.citationACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.24, no.2, pp.24:1 - 24:22-
dc.identifier.issn1084-4309-
dc.identifier.urihttp://hdl.handle.net/10203/269952-
dc.description.abstractAirgap technology enables air to be introduced in inter-metal dielectric (IMD). Airgap between certain wires reduces coupling capacitance due to the reduced permittivity; this can be utilized to decrease circuit delay. We propose an integrated approach of airgap insertion with the goal of circuit timing optimization. It consists of three sub-problems. We first select the layers that employ airgap, called airgap layers, that maximize total negative slack (TNS) improvement; this yields TNS improvement of 7% to 15% and worst negative slack (WNS) improvement of 2% to 8%, compared to a simple assumption of airgap layers. Second, we reassign the layers of wires such that more wires on critical paths can be placed in airgap layers. This is formulated as integer linear programming (ILP), and a more practical heuristic algorithm is also proposed. It provides an additional 17% TNS improvement and 6% WNS improvement. Finally, we perform airgap insertion through ILP formulation, where a number of design rules are modeled with linear constraints. To reduce the heavy runtime of ILP, a layout partitioning technique is also applied. It implements a feasible airgap mask in a manageable time where the amount of inserted airgap is close to the optimal solution.-
dc.languageEnglish-
dc.publisherASSOC COMPUTING MACHINERY-
dc.titleIntegrated approach of airgap insertion for circuit timing optimization-
dc.typeArticle-
dc.identifier.wosid000500803300011-
dc.identifier.scopusid2-s2.0-85062374542-
dc.type.rimsART-
dc.citation.volume24-
dc.citation.issue2-
dc.citation.beginningpage24:1-
dc.citation.endingpage24:22-
dc.citation.publicationnameACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS-
dc.identifier.doi10.1145/3306494-
dc.contributor.localauthorShin, Youngsoo-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorAirgap-
dc.subject.keywordAuthorairgap layer-
dc.subject.keywordAuthorlayer reassignment-
dc.subject.keywordAuthordesign rule-
dc.subject.keywordAuthortiming optimization-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 1 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0