Analysis of Fluorine Effects on Charge-Trap Flash Memory of W/TiN/Al2O3/Si3N4/SiO2/Poly-Si Gate Stack

Cited 4 time in webofscience Cited 4 time in scopus
  • Hit : 864
  • Download : 0
A charge-trap flash (CTF) memory stack of chemical vapor deposition (CVD) tungsten (W) was systematically compared with a physical vapor deposited (PVD) W memory stack. The residual F in the CVD W was diffused into Al2O3, Si3N4, SiO2, and the interface at SiO2/poly-Si after the subsequent annealing process at 900 °C for 1 s. The diffused F increased the SiO2 thickness and altered the charge-trap density in the Al2O3, Si3N4, SiO2, and SiO2/poly-Si interface, and this eventually affected memory performance and reliability. The memory window and program/erase retention properties degraded while the charge-transport and endurance characteristics improved with the CVD W memory as compared to the PVD W memory.
Publisher
PERGAMON-ELSEVIER SCIENCE LTD
Issue Date
2020-02
Language
English
Article Type
Article
Citation

SOLID-STATE ELECTRONICS, v.164, pp.107713

ISSN
0038-1101
DOI
10.1016/j.sse.2019.107713
URI
http://hdl.handle.net/10203/268747
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 4 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0