Energy Efficient Data Encoding in DRAM channels exploiting Data Value Similarityhttps://rims.kaist.ac.kr/rims/images/KOR/button/btn_go_down.gif

Cited 19 time in webofscience Cited 0 time in scopus
  • Hit : 311
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorSeol, Hoseokko
dc.contributor.authorShin, Wongyuko
dc.contributor.authorJang, Jaeminko
dc.contributor.authorChoi, Jungwhanko
dc.contributor.authorSuh, Jinwoongko
dc.contributor.authorKim, Lee-Supko
dc.date.accessioned2017-01-03T07:53:10Z-
dc.date.available2017-01-03T07:53:10Z-
dc.date.created2016-11-17-
dc.date.created2016-11-17-
dc.date.issued2016-06-22-
dc.identifier.citation2016 ACM/IEEE International Symposium on Computer Architecture, pp.719 - 730-
dc.identifier.urihttp://hdl.handle.net/10203/215734-
dc.description.abstractAs DRAM data bandwidth increases, tremendous energy is dissipated in the DRAM data bus. To reduce the energy consumed in the data bus, DRAM interfaces with asymmetric termination, such as Pseudo Open Drain ( POD) and Low Voltage Swing Terminated Logic (LVSTL), have been adopted in modern DRAMs. In interfaces using asymmetric termination, the amount of termination energy is proportional to the hamming weight of the data words. In this work, we propose Bitwise Difference Encoding (BD-Encoding), which decreases the hamming weight of data words, leading to a reduction in energy consumption in the modern DRAM data bus. Since smaller hamming weight of the data words also reduces switching activity, switching energy and power noise are also both reduced. BD-Encoding exploits the similarity in data words in the DRAM data bus. We observed that similar data words (i.e. data words whose hamming distance is small) are highly likely to be sent over at similar times. Based on this observation, BD-coder stores the data recently sent over in both the memory controller and DRAMs. Then, BD-coder transfers the bitwise difference between the current data and the most similar data. In an evaluation using SPEC 2006, BD-Encoding using 64 recent data reduced termination energy by 58.3% and switching energy by 45.3%. In addition, 55% of the LdI/dt noise was decreased with BD-Encoding.-
dc.languageEnglish-
dc.publisherACM SIGGRAPH and IEEE TCCA-
dc.titleEnergy Efficient Data Encoding in DRAM channels exploiting Data Value Similarity-
dc.title.alternativehttps://rims.kaist.ac.kr/rims/images/KOR/button/btn_go_down.gif-
dc.typeConference-
dc.identifier.wosid000389548600058-
dc.identifier.scopusid2-s2.0-84988416254-
dc.type.rimsCONF-
dc.citation.beginningpage719-
dc.citation.endingpage730-
dc.citation.publicationname2016 ACM/IEEE International Symposium on Computer Architecture-
dc.identifier.conferencecountryKO-
dc.identifier.conferencelocationLotte Hotel, Seoul-
dc.identifier.doi10.1109/ISCA.2016.68-
dc.contributor.localauthorKim, Lee-Sup-
dc.contributor.nonIdAuthorSeol, Hoseok-
dc.contributor.nonIdAuthorShin, Wongyu-
dc.contributor.nonIdAuthorJang, Jaemin-
dc.contributor.nonIdAuthorChoi, Jungwhan-
dc.contributor.nonIdAuthorSuh, Jinwoong-
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 19 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0